UVM:常用的seq_item_port.get_next_item()的解析

相信大家对seq_item_port这个名字不陌生。接触过driver的人应该都使用过seq_item_port.get_next_item(tr)这句代码。

这句代码非常神奇,在我刚刚开始接触uvm的时候就一直和它打交道,并且我认为它就是如此,很好理解。但是随着我使用uvm越来越多,对tlm的认识变多了以后,我反而开始变得困惑了,这个”port”是uvm 的port类型吗,对应的seq_item_export是uvm的export类型吗,带着这些疑问,我开始去看这块的源码。

首先是seq_item_port的源码:

seq_item_port是uvm_seq_item_pull_port的变量。这个”pull” port看起来有点陌生,和get port,put port等看起来不太一样,我们去看看这个类型的源码:

这个port也是继承自uvm_port_base,内部有两个宏,将宏展开看看。

UVM_SEQ_PORT宏:

UVM_SEQ_ITEM_PULL_IMP宏如下(定义了一些task,包括get_next_item等,在get_next_item任务里调用了与该port相连的imp的get_next_item任务):

接下来我们再来看看seq_item_port.connect(seq_item_export);中的另一个主角:

seq_item_export。

在uvm_sequencer.svh中有如下声明:

看来这个seq_item_export虽然名为“export”,但实为“imp”类型。接着看这个imp类型。

在tlm1/uvm_sqr_connections.svh中,有该imp的定义:

UVM_IMP_COMMON宏展开如下:

UVM_SEQ_ITEM_PULL_IMP宏,十分眼熟!!!和上面的seq_item_port中的是同一个宏,但是传入的参数是不一样的。第一个参数是m_imp,这个m_imp是imp所在的component类,即imp的各个task会调用所在component类的task。Seq_item_port中传入的以一个参数是this.m_if,   m_if在uvm_port的那篇文章中讲过,它是作为每个port或者export连接的终点imp的句柄所以从seq_item_port.get_next_item()开始,调用port的get_next_item任务会调用到tlm连接的终点imp的get_next_item任务,imp的get_next_item任务调用imp所在的component类的get_next_item的任务。所以get_next_item任务需要在imp所在的component类实现,这里是在sequencer中使用的这个imp,在sequencer及其父类中已经实现了上述所有的task,包括get_next_item()。

         所以这也是seq_item_port.get_next_item(tr)我们用的很多且方便的原因吧。

  • 5
    点赞
  • 3
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值