UltraEdit中高亮显示verilog HDL关键词

        UltraEdit是一款功能强大的文本编辑器,可以编辑文字、Hex、ASCII码,可以取代记事本,内建英文单字检查、C++ 及 VB 指令突显,可同时编辑多个文件,而且即使开启很大的文件速度也不会慢。是一个使用广泛的编辑器,但它并不直接支持HDL。

        如图1所示,用UltraEdit打开一个Verilog HDL文件,实现语法着色:

图1 语法着色效果


1. 下载Verilog HDL语法高亮文件
Verilog HDL关键字将用不同色彩标出,便于识别。verilog HDL语法高亮文件下载地址为http://www.ultraedit.com/downloads/extras.html#wordfiles,下载verilog.UEW和verilog2001.UEW文件

2. 修改文件
2.1 修改verilog语法高亮文件
l 把文件开头的L20改为L19
l 在文件最后加上以下代码
/Open Fold Strings = "begin""case"
/Close Fold Strings = "end""endcase"
/Indent Strings = "begin""case""fork" "if" "else"
/Unindent Strings = "end""endcase""join" "else" 
保存关闭。
 
2.2 修改verilog2001语法高亮文件
在文件最后加上以下代码
/Open Fold Strings = "begin""case"
/Close Fold Strings = "end""endcase"
/Indent Strings = "begin""case""fork" "if" "else"
/Unindent Strings = "end""endcase""join" "else" 
保存关闭。



3. 拷贝语法高亮文件到合适目录下
把语法高亮文件拷贝到D:\UltraEdit\wordfiles(UltraEdit 15.0以上版本)目录下。

编辑器显示->语法着色->文档的完整目录名称中,查看wordfiles的路径



4. 设置语法高亮文件路径
高级→配置→编辑器显示→语法高亮,定位文档语法高亮的完整目录后点确定。




  • 1
    点赞
  • 1
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值