资料:基于MPSOC XCZU15EG-2FFVB1156I 的PCIe FMC 光纤接口处理卡设计资料保存

基于MPSOC XCZU15EG-2FFVB1156I 的PCIe FMC 光纤接口处理卡

一、板卡概述

      本板卡系我司自主研发,基于Xilinx Zynq Ultrascale+ MPSOC系列SOC XCZU15EG-FFVB1156架构,搭载一组64-bit DDR4,容量32Gb,高可稳定运行在2400MT/s。另有2路40G QSFP光纤接口、1路USB3.0接口、1路千兆网络接口、1路DP接口,2路RS232,2路Can接口。板卡具有自控上电顺序,支持多种启动模式,如Nor Flash启动,EMMC启动,SD卡启动等。FMC接口为标准HPC,连接8个GTH,LA,HA,HB总线。设计满足工业级要求。可用于高速信号处理等领域。实物图如图 1所示:

 

 

 

 

 

 

 图 2:ZU15EG板卡原理框图

二、板卡技术指标 

  •  PS端挂载一簇DDR4,数据位宽64-bit,容量32Gb,高可稳定运行在2400MT/s;
  •  PS端挂载两片QSPI x4 NorFlash,每片容量512Mb,用于系统配置程序存储;
  •  PS端挂载挂一片EMMC,64Gb容量,可用于系统配置程序存储;
  •  PS端外挂SD卡接口,大支持搜索8192个文件数,可用于系统配置程序存储;
  •  PS端外接Display Port接口,支持Display Port 1.2a协议标准,仅支持对外输出;
  •  PS端外接一路千兆以太网接口,支持10/100/1000Mbps速率传输;
  •  PS端外接一路USB3.0接口,大速率可达5Gbps;
  •  PL端挂载一片SPI接口的DataFlash,容量16Mb,可用于存储系统参数信息;
  •  PL端通过SPI外接两组独立CAN FD控制器,CAN FD接口高速率可达5Mbps;
  •  PL端外接2路QSFP+接口,高支持40Gbps数据传输速率;
  •  PL端外接FMC总线,支持8个GTH,LA,HA,HB总线;
  •  板卡支持PCIe X8 接口,支持PCIe2.0,3.0协议;
  •  板卡外接两路RS232接口,由PS端UART转出,可用于系统调试及状态信息打印;
  •  板卡留有多路用户自定义测试IO管脚;
  •  板卡留有一组4位用户自定义拨码开关;
  •  板卡芯片全部采用工业级芯片;

三、软件内容

  •  PS端QSPI加载测试代码;
  •  PS端EMMC加载测试代码;
  •  PS端SD卡加载测试代码;
  •  PS端Display Port接口测试代码;
  •  PS端USB3.0接口测试代码;
  •  PS端DDR4读写测试代码;
  •  PS端千兆网口收发测试代码;
  •  PS端UART接口读写测试代码;
  • PL端SPI接口的DataFlash读写测试代码;
  •  PL端QSFP+接口ibert模式测试代码;
  • PL端PCIe接口测试代码
  •  PL端CAN FD接口测试代码;
  •  其它GPIO信号连通性测试代码;

四、物理特性

工作温度:商业级 0℃ ~ +55℃,工业级-40℃~+85℃

工作湿度:10%~80%

五、供电要求

单电源供电,整板大功耗:30W

电压:+12VDC±10%@5A

六、应用领域

高速信号处理等

  • 1
    点赞
  • 2
    收藏
    觉得还不错? 一键收藏
  • 1
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值