【以太网硬件二十】USXGMII是什么?-Part1

👉个人主页: highman110
👉作者简介:一名硬件工程师,持续学习,不断记录,保持思考,输出干货内容

目录

回顾 

概览

功能框图描述

XGMII接口和数据包

XGMII接口信号

XGMII数据流

XGMII信号传输示例


回顾 

        先来回顾一下以前讲过的各种媒体独立接口:

        MII:连接MAC和PHY的媒体独立接口,数据位宽4bit,支持速率10/100Mbit/s。

        GMII:千兆媒体独立接口,数据位宽8bit,向下兼容MII,当兼容MII时,只使用低4bit数据线,支持速率10/100/1000Mbit/s。

        SGMII:串行千兆媒体独立接口,连接千兆PHY和MACserdes速率1.2

  • 7
    点赞
  • 42
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 7
    评论
评论 7
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

highman110

你的鼓励将是我最大的创作动力!

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值