初学FPGA(一)_软件环境的搭建_UltraEdit+Modelsim+Quartus+Vivado

 
 
0 前言

  由于博主自身发展规划以及个人喜好,在未来大概率不会走纯软方向(算法),因此结合自身以及院校方向(之后实验室要用FPGA),现阶段学习FPGA,从零开始。
  一开始,打算直接上板直接点亮LED入门,但是博主在FPGA真的是纯纯小白,一些与C语言类似的代码能够看懂,很多关键词,如assignalway@(*)wirereg就有点儿难受,太难受了,难受了两天,最终决定在正式踏入FPGA世界之前,答主先利用书籍+哔哩哔哩+Mooc的资料,熟悉基础的语法知识。以下是目前参阅的资料,书籍借了很多,但是部分参考价值并不大,一些设计涉及到了FPGA高阶,VHDL语言等,这些就没必要翻阅。所有的书籍不用当教材书来对待,参考书,哪里不会翻哪里,在了解基本语法之后,博主开始写模块以及实例练习,包括基础的门电路,译码器,反相器等。不过答主建议先把语法框架搭建起来(由于答主去北京参加l电赛颁奖仪式+回来后成都的朋友来重庆找我耍,就前面准备耽误了好久)。
请添加图片描述

📕📕📕书籍推荐:

🕐Verilog HDL设计实用教程:基础语法+基础实验
🕐Verilog HDL入门(第3版)[美]J.BHASKER:基础语法+练习题
🕐Verilog HDL: A Guide to Digital Design and Synthesis

📺📺📺推荐:

🎨MDY_6小时基础视频(bilibili可以找到)
🎨verilog实战视频:BJ高校录制的视频

 
1 内容概述
  本次分享主要围绕环境搭建展开,答主先后安装了UltraEditModelsimQuartusVivado这几款软件,这篇博客也主要围绕这四个软件的安装开展。
  UltraEdit:编辑器,码代码,界面简单,便于上手,当然也可以采用VScode进行代码的编写。答主主要是为了熟悉verilog基础语法,安装了UE。
  ⚽Modelsim:仿真,观察时序波形图
  ⚽Quartus:集成化专用开发工具,QuartusII软件可以完成从设计输入、综合、布局布线、仿真到下载等整个FPGA的开发流程。(准备上手点灯了,发现目前的板子是Altera公司的,所以就又下载了Quartus🥹🥹🥹)
  ⚽Vivado:与Quatrus软件功能一样,所属公司平台不同。
 
 

PFGA软件
                                                            图 FPAG软件

2 正文

2.1 UltraEdit介绍与安装

  • 13
    点赞
  • 27
    收藏
    觉得还不错? 一键收藏
  • 1
    评论
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值