自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(31)
  • 资源 (1)
  • 收藏
  • 关注

原创 Constraining Paths Between Asynchronous Clock Domains

DescriptionQuestion:How can I constrain a few paths between two asynchronous clock domains?Answer:Specifying asynchronous relationship between clocks does two things:Sets afalse_pathexception internally forall the paths between the two clock d...

2021-03-31 17:09:03 374

原创 将博客搬至CSDN


 将博客搬至CSDN












2018-09-19 18:01:00 253

原创 perl 替换一例

$line =~ s/^\s+//; #去掉$line中起始部分的空格$line =~ s/\s+$//; #去掉$line中结束部分的空格=~ 是perl的正则表达式操作符这里采用的是替换语意:s/被替换的内容/替换成为/其它开关选项;注意用'/'隔开个个部分.\s表示任意空白字符,包括空格制表符(Tab)等等+表示匹配一个或多个^用在起始位置表明从起始位置开始匹配$用在结束位置表...

2017-06-29 20:36:00 840

原创 linux shell常用快捷键(转载)

  删除ctrl + d      删除光标所在位置上的字符相当于VIM里x或者dlctrl + h      删除光标所在位置前的字符相当于VIM里hx或者dhctrl + k      删除光标后面所有字符相当于VIM里d shift+$ctrl + u      删除光标前面所有字符相当于VIM里d shift+^ctrl + w      删除光标前一个单词相当于VIM里db...

2017-06-27 19:37:00 218

原创 linux中执行cd之后直接ls列出所有文件

以下command在cshell中生效在.cshrc中加入下面的语句:alias cd 'cd \!* ; ll'注意*后面的空格,以及ll之前的空格进一步,可以同时打印出cd之后的pathalias cd 'cd \!* ; ll; pwd'如果执行cd之后命令行显示的目录(用户名后面紧跟着的)没有变化的,可以试着再加入sourcealias cd 'cd \!* ; l...

2017-06-27 11:20:00 15267

原创 各种波形文件(wlf/vcd/fsdb/shm/vpd)的区别及生成方法(转)


 仿真是IC设计不可或缺的重要步骤,仿真后一般需要记录下波形文件,用于做详细分析和研究。说一下几种波形文件WLF(Wave Log File)、VCD(Value Change Dump)文件,fsdb(Fast Signal DataBase)文件、shm、vpd:对于WLF波形日志文件,只要我们使用过modelsim,应该都很熟。WLF(Wave Log Fi...

2016-11-15 10:41:00 2408

原创 异步复位同步释放


 首先要说一下同步复位与异步复位的区别。同步复位是指复位信号在时钟的上升沿或者下降沿才能起作用,而异步复位则是即时生效,与时钟无关。异步复位的好处是速度快。再来谈一下为什么FPGA设计中要用异步复位同步释放。复位信号的释放是有讲究的:我们知道,DFF的D端和clk端之间时序关系是有约束的,这种约束我们通过setup time和hold time来 chec...

2016-11-10 15:15:00 292

原创 阻塞赋值与非阻塞赋值(转载)

  前言:阻塞与非阻塞赋值是Verilog语言中最基本的部分,也是让大部分Verilog新手最困惑的地方。关于阻塞与非阻塞的著作文章可谓汗牛充栋,这些文章对阻塞与非阻塞赋值的原理进行了非常详细的讲解,但新手读了之后依然有种似懂非懂的感觉,编码过程中一如既往的犯错。所以,本文的目的立足于提供一种实用化的解决方案,用最简单的语言和形象的类比让新手能够一目了然的明白正确的编码方式以及相应的电...

2016-11-02 16:56:00 320

原创 IC系统组成概论

IC系统是什么?对算法工程师来说,IC系统是完成特定功能的硬件。对架构设计师来说,IC系统包括控制,运算,存储部分。电路设计工程师来说,IC系统是加法器,乘法器,与非门,运算放大器,开关电容等的搭配。对版图工程师来说,它是多边形组成的组合。一个常见的IC系统包括:数字部分(可能包括微处理器,控制电路,数据通路等)模拟部分(可能包括PLL,A/D,RF等)连线I/O PAD...

2016-11-01 21:56:00 407 1

原创 程序猿自救指南之——如何拯救你的颈椎 (转)

程序猿自救指南之——如何拯救你的颈椎作者:百度知道网友 黑斯廷斯(科学传播硕士,科学记者)“颈椎病不仅是程序员的职业病,更是现代人的都市病。”——黑斯廷斯所谓名人名言就是任意一句话加上一个外国人名。好吧这句话虽然是现编的,但我相信没有人会对它提出异议。毕竟,留意一下你周围有多少人在抱怨自己肩酸脖子疼就知道了。八成你自己也是其中一员。(想知道自己是否患有颈椎病,请见文末的颈...

2013-11-25 13:27:00 455

原创 Linux查找命令

find [路径] -name [要找的文件名,可用*表示] -print这个命令速度慢但是个人觉得是好用的,还有一种locate根据文件名数据库定位#locate *.doc //查找所有扩展名为doc的文件。运行此命令有时候需要配合updatedb跟新下文件名数据库。比如要查找 123.txt那就 find / -name "123.txt"搜索根和子目录 名字为 123.tx...

2013-10-24 09:42:00 114

原创 linux 创建链接命令 ln -s 软链接

这是linux中一个非常重要命令,请大家一定要熟悉。它的功能是为某一个文件在另外一个位置建立一个同不的链接,这个命令最常用的参数是-s,具体用法是:ln -s 源文件 目标文件。当 我们需要在不同的目录,用到相同的文件时,我们不需要在每一个需要的目录下都放一个必须相同的文件,我们只要在某个固定的目录,放上该文件,然后在其它的 目录下用ln命令链接(link)它就可以,不必重复的占用磁盘空...

2013-10-18 11:09:00 142

原创 Vim常用命令

0、移动及跳转::n 跳转到n行,n表示行号nG 跳转到n行,n表示行号CTRL-] 跳转到当前光标所在单词对应的主题CTRL-O 回到前一个位置h 左j 下k 上l 右W 向前移动一个wordb 向后移动一个word$ 命令将光标移动到当前行行尾^ 命令将光标移动到当前行的第一个非空白字符上0 命令则总是把光标移动到当前行的第一个字符上fx 在当前行上查找下一个字符x% 跳转到与之匹配...

2013-10-18 10:28:00 98

原创 gvim查找与替换命令

VI/VIM是程序员最常用的工具之一,尤其是unix/linux上;而查找替换也是最频繁的操作之一。 一般在vim中我们使用这样的方法去替换:%s/dest/new/g ,其中dest是查找目标,new是替换的新内容,g表示全文替换,一般的vim配置为默认全文替换,所以g也可以不要。 下文总结了常用的一些替换模式,以供参考学习;内容转自网络,原文没有出处信息!  ...

2013-10-17 17:35:00 1298

原创 RGB与YCbCr颜色空间的转换

YCbCr是YUV经过缩放和偏移的翻版,可以看做YUV的子集。主要用于优化彩色视频信号的传输,使其向后相容老式黑白电视。与RGB视频信号传输相比,它最大的优点在于只需占用极少的频宽(RGB要求三个独立的视频信号同时传输)。Y:明亮度(Luminance或Luma),也就是灰阶值。“亮度”是透过RGB输入信号来建立的,方法是将RGB信号的特定部分叠加到一起。U&V:色度(C...

2013-09-25 15:36:00 232

原创 YCbCr

YCbCr是DVD、摄像机、数字电视等消费类视频产品中,常用的色彩编码方案。YCbCr 有时会称为 YCC.。Y'CbCr 在模拟分量视频(analog component video)中也常被称为 YPbPr。YCbCr不是一种绝对色彩空间,是YUV压缩和偏移的版本。YCbCr与YUV的区别正如几何上用坐标空间来描述坐标集合,色彩空间用数学方式来描述颜色集合。常见的3 个基...

2013-09-12 13:38:00 240

原创 rm命令及其option

脚本里面有一句:system "rm -fr simv*";rm表示删除,另外两个option代表什么意思呢?通过man rm得知:-f,--force :ignore nonexistent files never prompt。表示忽略不存在的文件并且不提示,有的时候simv*如果不存在的话,将忽略这个option并且不提示。-r,-R,--recursive: ...

2013-09-04 20:59:00 189

原创 点阵图、矢量图、像素图、位图图像、位元块传输

点阵图目录概述点阵图与矢量图概述一般来说点阵图和位图是一个概念。它是由n多的像素组成的。  &amp它的概念主要是相对于区别矢量图而言的。点阵图和矢量图是现代计算机平面图形的两大概念。编辑本段点阵图与矢量图位图[bitmap],也叫做点阵图,删格图像,像素图,简单的说,就是最小单位由像素构成的图,缩放会失真。构成位图的最小单位是...

2013-09-02 21:33:00 1061

原创 ARGB_8888 / RGB565 / ARGB4444 / ALPHA_8分别代表什么意思?

ARGB---Alpha,Red,Green,Blue.  一种色彩模式,也就是RGB色彩模式附加上Alpha(透明度)通道,常见于32位位图的存储结构。  Alpha-图像通道  如果图形卡具有32位总线,附加的8位信号就被用来保存不可见的透明度信号以方便处理用,这就是Alpha通道。白色的alpha象素用以定义不透明的彩色象素,而黑色的alpha象素用以定义透明象素,黑白之间的灰阶用...

2013-09-02 16:43:00 2573

原创 verilog FAQ

1. What is the race condition in verilog?Ans :The situation when two expressions are allowed to execute at same instance of time without mentioning the order of execution.2. List the leve...

2013-08-29 17:38:00 478

原创 电子表verilog

1 //电子表的设计包括正常计时模块,LED显示模块,定时报警模块,校时模块,秒表模块。 2 //(1)正常计时模块clock 3 module clock(clk,rst,clock_en,second,minute,hour); 4 input clk,rst,clock_en; 5 output[5:0]second,minute,hour; ...

2013-08-27 15:36:00 1021

原创 Sed 命令详解 正则表达式元字符


 1.简介
sed是非交互式的编辑器。它不会修改文件,除非使用shell重定向来保存结果。默认情况下,所有的输出行都被打印到屏幕上。
sed编辑器逐行处理文件(或输入),并将结果发送到屏幕。具体过程如下:首先sed把当前正在处理的行保存在一个临时缓存区中(也称为模式空间),然后处理临时缓冲区中的行,完成后把该行发送到屏幕上。sed每处理完一行就将...

2013-08-20 15:16:00 299 2

原创 视频基础知识---分辨率


 
分辨率


在视频会议中和电视系统中提到的图像分辨率、显示设备的分辨率,经常不知道怎么才能说清楚、搞明白;再加上视频会议中的经常提到的CIF格式,电视系统中提到的清晰度、电视扫描线,计算机显示设备提到VGA、XGA等分辨率,直到现在风靡各种媒体报端的720p、1080i和1080p的高清电视,这些五花八门的分辨率都是怎么形...

2013-08-20 11:24:00 346

原创 DC基本知识问答


  



1.1 什么是DC?      DC(Design Compiler)是Synopsys公司的logical synthesis工具,它根据design description和design constraints自动综合出一个优化了的门级电路。它可以接受多种输入格式,如HDL、Schematics、Netlist...

2013-08-15 10:48:00 1554

原创 vcs和verdi的联合仿真


 环境配置
首先搭建好vcs和Verdi都能工作的环境,主要有license问题,环境变量的设置。在220实验室的服务器上所有软件的运行环境都是csh。所以,所写的脚本也都是csh的语法。
生成波形文件
Testbench的编写
若想用Verdi观察波形,需要在仿真时生成fsdb文件,而fsdb在vcs或者modelsim中的...

2013-08-13 16:49:00 879

原创 从D触发器的角度说明建立和保持时间


 



从D触发器的角度说明建立和保持时间 上图是用与非门实现的D触发器的逻辑结构图,CP是时钟信号输入端,S和R分别是置位和清零信号,低有效; D是信号输入端,Q信号输出端;这里先说一下D触发器实现的原理:(假设S和R信号均为高,不进行置位和清零操作)CP=0时: G3和G4关闭,Q3和Q4输出为’1’。那么G5和G6打开...

2013-08-10 14:56:00 476

原创 数字ASIC设计概要:时序约束(Timing)简介


 数字设计中,时序是最基本,也是最重要的概念。
基本概念
我们所说的数字设计多数时候都是指的同步逻辑。所谓同步逻辑,是说所有的时序逻辑都在时钟信号的控制下完成。这很像是大合唱,有很多的人参与,大家都根据同一个节拍来控制节奏,保持整齐。时钟信号就是那个节拍。其实很多地方都需要有一个节拍来协调系统的各个部分。比如工厂里的一条流水线。
流水线...

2013-08-09 16:01:00 1559

原创 DC综合


 getch.lib是synopsy公司开发的与工艺无关的工艺库。在dc时dc首先将RTL代码转换为通用的布尔表达式,就是getch格式,这个是与工艺无关的,在执行compile命令以后DC按设计约束使用目标库的逻辑单元映射成门级网表。










...

2013-08-05 09:47:00 514

原创 同步复位和异步复位


 一般都采用异步复位,同步释放的方法。之前一直想不通,为什么同步释放就可以避免亚稳态,后来问了别人才明白:同步释放的时候,这个复位信号的释放是在时钟信号的驱动下才释放的,是发生在跳变沿之后的(准确的说就是在时钟的建立时间和保持时间的窗口之后的),这样就防止了复位信号的撤销和时钟跳变赶在一起(即避免了复位信号的释放落在建立时间和保持时间的窗口内从而引起亚稳态),而异步...

2013-08-02 11:44:00 153

原创 verilog 语法备忘


 2013.7.29

assign 语句中赋值的变量都是wire型;always/initial中赋值的变量一定是reg型。
延时单位和时间精度:`timescale 1ns/100ps,其中的1ns表示延时单位,100ps表示时间精度,也就是编译器所能接受的最小仿真时间粒度。例如:assign #1.16 A = B^C;由于延时单...

2013-07-29 10:40:00 135

原创 Linux系统下查看PDF文档的命令


 $ acroread [参数] filename.pdf   #adobe Reader阅读器
$ evince filename.pdf    #ubuntu下自带pdf阅读器
 












2013-07-23 17:19:00 692

异步FIFO结构(很好,推荐~)

异步FIFO结构写得很透彻,推荐阅读 作者:Vijay A.Nebhrajani 翻译:Adam Luo

2010-05-05

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除