自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(2)
  • 收藏
  • 关注

原创 verilog中的关键字disable用法

disable语句可以退出任何循环,能够终止任何begin..end块的执行,用于仿真验证中。例如begin:onefor(i=1;ibegin:twoif(a==0)disable one; //从one这个begin..end 中跳出,终止了forif(a==1)disable two;//从two这个begin..end块中跳出,从本次循环中跳出e

2017-12-22 17:07:57 11462

原创 Verilog开发中“=”和“<=”的区别

非阻塞(Non_Blocking)赋值方式( 如 b 块结束后才完成赋值操作,值并不是立刻就改变的, 这是一种比较常用的赋值方法。(特别在编写可综合模块时)。阻塞(Blocking)赋值方式( 如 b = a; ),  赋值语句执行完后,块才结束,值在赋值语句执行完后立刻就改变的,  可能会产生意想不到的结果。一般情况下组合逻辑使用=赋值,时序逻辑使用举个例子:初

2017-12-22 16:02:08 19885 3

空空如也

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除