verilog中的关键字disable用法

disable语句可以退出任何循环,能够终止任何begin..end块的执行,用于仿真验证中。
例如
begin:one
for(i=1;i<5;i=i+1)
begin:two
if(a==0)
disable one; //从one这个begin..end 中跳出,终止了for
if(a==1)
disable two;//从two这个begin..end块中跳出,从本次循环中跳出
end
end
网上看到的下面这个例子,一个意思:
begin : Break
forever
begin : Continue
...
disable Continue; //
继续下一个迭代
...
disable Break; // 退出forever 循环
...
end // 继续
end //

  • 0
    点赞
  • 15
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值