设计模式之模板方法(Template Method)

模板方法模式:在一个方法中定义一个算法的骨架,而将一些步骤延迟到子类中。模板方法使得子类可以在不改变算法结构的情况下,重新定义算法中的某些步骤。

 

模板方法模式UML图:


为了防止模板方法被覆盖,通常将模板方法定义为final方法。

 

对模板方法进行挂钩(钩子方法)

钩子是一种被声明在父类中的方法,通常在模板方法中被调用,但父类中通常只会给出空的或者默认的实现。钩子的存在,可以让子类有能力对算法的不同点进行挂钩。如果子类需要干涉模板方法中的一些行为,可以实现或者覆盖父类中定义好的钩子方法。

 

模板方法模式和策略模式都能封装算法,不过一个是使用继承,一个是使用组合。

 

模板方法模式相对比较好理解,而且应用也比较广泛,在其他很多模式中,我们都能看到模板方法模式的身影,比如工厂方法模式就是模板方法模式的一个特殊版本。

 

参考资料:

Head First 设计模式 (中国电力出版社)

 

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值