自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(39)
  • 问答 (1)
  • 收藏
  • 关注

原创 学习笔记

学习“红色飓风2笔记”1,ISE中也可以完成仿真啊,参见3s400试验指导书P27.2,增加约束:  时序约束:约束设计的时序和时钟频率,双击Create Timing Constraints:   上面的对话框里面有四个复选页:    Global:用于附加全局约束,包括周期约束,输入延迟约束和输出延迟约束    Ports: 附加端口约束,可以对每一个端口进行单独设置,包括物理位置,     ...

2010-06-30 19:26:25 104

原创 SWT资料

SWT-jface一些例子: http://www.java2s.com/CN/Code/Java/SWT-JFace-Eclipse/2D.htm

2010-06-30 19:24:06 173

原创 ROM、RAM、DRAM、SRAM、FLASH.....的区别

原文:http://zhouwenjun.iteye.com/blog/608854ROM和RAM指的都是半导体存储器,ROM是Read Only Memory的缩写,RAM是Random Access Memory的缩写。ROM在系统停止供电的时候仍然可以保持数据,而RAM通常都是在掉电之后就丢失数据,典型的RAM就是计算机的内存。     RAM有两大类,一种称为静态RAM(Sta...

2010-06-30 18:53:45 73

原创 RGB与YCbCr颜色空间的转换

原文:http://www.cnblogs.com/starspace/archive/2008/12/16/1356007.html公式如下://数字RGB与YCbCr颜色空间转换的精度  //在这两个公式中RGB和YCbCr各分量的值的范围均为0-255。// RGB转换为YCbCr// 这个公式来自:Genesis Microchip. gm6010/gm6015 Pro...

2010-06-30 13:16:31 512

原创 jdom读写xml 小心xml中的namespace

一,没有namespace的情况:一个src/studentinfo.xml的xml文件放在src目录下:文件中的<!--student-info xmlns="http://www.jdom.org"-->是xml中的注释,没有用。<?xml version="1.0" encoding="gb2312"?><!--student-info xmln...

2010-06-30 12:41:33 398

原创 jdom读写xml

原文:http://wuhongyu.iteye.com/blog/361842要使用jdom解析xml文件,需要下载jdom的包,我使用的是jdom-1.1,附件中有。xml文件:<?xml version="1.0" encoding="UTF-8"?><sys-config> <jdbc-info> <driver-clas...

2010-06-30 11:29:31 104

原创 ISE中下载程序出错,msk文件缺失问题

原文:http://cathyun.spaces.live.com/blog/cns!7C9B5AD7F583967!1291.entry      今天实验调试时之前一直好好的,突然程序下载不进去了,烧写FPGA的bit文件出现如下报错: ERROR:Bitstream:2 - The input file "E:\XXX.msk" does not exist.  Please che...

2010-06-29 19:42:57 442 1

原创 FPGA通过JTAG下载程序出现“Programming terminated. DONE did not go high.”问题的解决方法...

原文:http://blog.csdn.net/wjabcjw/archive/2009/03/06/3963747.aspx非常感谢wjabcjw的分享啊。原来是ISE9.2对应的IMPACT9.2存在bug,详见xilinx官方问答:http://china.xilinx.com/support/answers/30037.htm。解决办法:后来我换成ISE7.1i后问题完...

2010-06-29 18:37:58 3950

原创 实验十三 I2C总线协议控制器实验

看不到效果

2010-06-29 15:15:59 109

原创 实验十二 USB接口读写控制实验

没完成

2010-06-29 15:14:23 267

原创 实验十一 PS2接口控制与显示实验

实验原理本次实验要编写一个能实现PS/2端口功能的程序。PS/2 键盘履行一种双向同步串行协议。换句话说每次数据线上发送一位数据,并且每在时钟线上发一个脉冲就被读入,键盘可以发送数据到主机,而主机也可以发送数据到设备。但主机总是在总线上有优先权,它可以在任何时候抑制来自于键盘的通讯,只要把时钟拉低即可。本次实验主要实现由键盘到主机的数据传输。首先我们要了解PS/2 端口的结构与管脚功能: ...

2010-06-29 14:15:22 518

原创 实验十 串口通信实验

实验结果下载完毕后,用开发包中提供的串口超级终端,设置波特率为:9600,数据位8位,校验位:偶校验,停止位1,由终端发送E4H、00H,在终端上将会看到E4、E1和0~19的二十个数。收到的为:E4 E1 00 01 02 03 04 05 06 07 08 09 0A 0B 0C 0D 0E 0F 10 11 12 13 注意发送的是E4和00,而没有H,而且HEX发送...

2010-06-29 13:40:10 391

原创 试验九 VGA显示控制实验

没有买这个扩展板,是不是不好做这个试验了。1,可以了解VGA和CRT的原理。

2010-06-29 13:08:36 448

原创 实验八 LCD显示控制实验

实验原理1、1602 液晶显示简介:液晶屏幕分为点阵型和液晶型两种,本次试验用到的是液晶屏幕,为字符型可以显示2行16个字符。液晶模块采用14针标准接口:第1脚:VSS为地电源;第2脚:VDD接5V正电源;第3脚:V0为液晶显示器对比度调整端,接正电源时对比度最弱,接地电源时对比度最高,对比度过高时会产生“鬼影”,使用时可以通过一个10K的电位器调整对比度;第4脚:RS为寄存器选择,高电平...

2010-06-29 13:04:32 4513

原创 实验七 蜂鸣器控制实验

实验原理与利用微处理器(CPU 或者MCU)来实现音乐演奏相比较,用纯硬件完成音乐演奏电路的逻辑要相对复杂很多,如果不借助于强大的EDA工具和硬件描述语言,纯粹使用传统的数字逻辑技术,即使是最简单的演奏电路也很难实现。首先,本次实验要在开发板上面试验一下当输入不同的频率的时候,蜂鸣器都发出什么声音,看看是否是按照下表所列,然后编写程序,在开发板上点的时候从蜂鸣器依次发出do, re, mi, ...

2010-06-29 12:55:21 3540

原创 实验六 按键防抖动实验

实验原理若要使用开发板上面的四个SW按键来做计数输入,就需要知道一共按了几下按键。在这种情况下不能像以前设计电路中,简单地使用输入时钟的上升沿来检测按键是否按下。因为,如果分频以后的时钟频率是10Hz,按键一下按了1 秒钟,那么如果简单地以时钟的上升沿来检测按键,程序就会认为实际一共按了十下按键。我们经常使用的键盘也存在这种情况,这里就需要一部分电路来防止上面情况的出现。如果要防抖动,就不能用...

2010-06-29 12:46:59 1936

原创 试验五 计数器实验

实验内容本次实验要设计一个计数器,并在数码管上面显示计数器的计数过程。根据实验要求,程序大体可分为三个部分:分频部分,计数部分, 显示部分。由于开发板提供的时钟频率是50MHz,人眼睛没法达到这个速度,四个数码管也不好显示这么高频率计数后得到的巨大数字,所以要先对50MHz进行分频,这样才能保证计数器每计一个数的周期在一秒左右。计数部分由多个寄存器构成,每个时钟的上升沿,寄存器里面的数值就加一...

2010-06-29 12:35:53 373

原创 试验四 数码管控制实验

1,循环显示1-F。module Seven_LED( clk, rst, led_out ); input clk,rst;output [11:0] led_out;reg [11:0] led_out; re...

2010-06-29 12:29:39 329

原创 试验三 状态机应用实验

实验原理状态机设计可以称得上是HDL 设计里面的精华,几乎所有的设计里面都或多或少地使用了状态机的思想。状态机顾名思义,就是一系列状态组成的一个循环机制,这样的结构使得编程人员能够更好地使用HDL语言,同时具有特定风格的状态机也能提高程序的可读性和调试性。状态机的设计有很多要素,重点的几个如下:● 状态机的编码。Biary、gray-code编码使用最少的触发器,较多的组合逻辑。而one-ho...

2010-06-29 12:17:34 813 1

原创 试验二 分频器实验

试验原理:经过处理后得到的时钟频率比输入的时钟频率慢的情况叫做分频,相反,如果输出的时钟频率高于输入的时钟频率,我们则称之为倍频。分频一般可以由用户自己编写程序来实现; 而倍频则要通过FPGA 自带的PLL 或者DLL 来实现。理论上分频可以无限制地分下去,时钟周期只要小于无穷就可以,倍频则要看使用的FPGA 的性能,和实际设计中的一些约束条件,来决定倍频后得到的频率应该是多少。分频器是进行数...

2010-06-29 12:06:26 882

原创 试验一 LED控制实验

刚买了一个红色飓风二代的板子抓紧时间学习哈。实验内容:编写按键控制LED的程序和3/8译码器的程序,并在开发板上实现它们。管脚对应:push buttonSW6SW5SW4SW3FPGA PinP51P52P57P58 器件LED1LED2LED3LED4LED5LED6LED7LE...

2010-06-29 10:56:24 452

原创 XILINX 的chipscope

该说明来自百度百科:Chipscope是XILINX推出的一款在线调试软件,价格便宜,通过它完全可以脱离传统逻辑分析仪(太贵)来调时序,观察FPGA内部的任何信号,触发条件、数据宽度和深度等的设置也非常方便,但是肯定也存在不足,比如速度和数据量方面。Chipscope本身是一个逻辑分析仪,主要用于在上板测试过程中采集并观察芯片内部信号,以便于调试。   它的原理是,在你综合完的网表里插入用...

2010-06-28 10:44:52 307

原创 南斯拉夫 苏联 捷克斯洛伐克

前南斯拉夫目前已经分解成七个国家,其中六个得到国际社会的普遍承认,一个只有部分国家承认.塞尔维亚:——贝尔格莱德黑山:——铁托格勒克罗地亚:——萨格勒布斯洛文尼亚:——卢布尔雅那波斯尼亚和黑塞哥维亚:——萨拉热窝马其顿:——斯科普里科索沃:——普里什蒂纳  前苏联1990年3月11日,立陶宛——维尔纽斯。 1991年4月09日,格鲁吉亚——第比利斯。 ...

2010-06-25 13:22:12 408

原创 摩纳哥 摩洛哥

摩纳哥公国是位于欧洲的一个城邦国家,是一个典型的微型国家。摩纳哥地处法国南部,除了靠地中海的南部海岸线之外,全境北、西、东三面皆由法国包围,主要是由摩纳哥旧城和随后建立起来的周遭地区组成。 摩洛哥王国是非洲西北部的一个国家。该王国东部以及东南部与阿尔及利亚接壤,南部紧邻西撒哈拉,西部滨临大西洋,并向北隔直布罗陀海峡和地中海与西班牙相望。1979年摩洛哥占领西撒哈拉,但其在西撒哈拉的权利...

2010-06-25 12:46:43 327

原创 公爵 侯爵 伯爵 子爵 男爵

大小依次为:公 侯 伯 子 男 公爵Duke, 侯爵marquis ,伯爵count(earl),(count是欧洲大陆的说法,earl为英国的说法)子爵viscount,男爵baron

2010-06-25 12:15:40 297

原创 事件管理器 4个象限【还没有完成】

1,改完成了一个大概的样子,还要把Text改成List,可以添加,可以存到文件或者数据库中去。public class Coordinate { private void createArea(Composite parent) { SashForm top = new SashForm(parent, SWT.HORIZONTAL);// 定义一个分割窗容器 top.setL...

2010-06-23 17:12:43 217

原创 利用SWT内部的图标

import org.eclipse.swt.SWT;import org.eclipse.swt.custom.CLabel;import org.eclipse.swt.graphics.Color;import org.eclipse.swt.layout.RowLayout;import org.eclipse.swt.widgets.Display;import or...

2010-06-23 16:55:25 492

原创 SWT 浏览器

 这个来自书上的一个例子:package firstSWT;import org.eclipse.swt.layout.GridLayout;import org.eclipse.swt.widgets.Button;import org.eclipse.swt.SWT;import org.eclipse.swt.widgets.Text;import org.ecl...

2010-06-23 16:48:04 332

原创 Robot类 测试自动化

从jdk1.3开始,提供了一个Robot类,在java.awt.*包下面。这个类在jdk中描述如下:此类用于为测试自动化、自运行演示程序和其他需要控制鼠标和键盘的应用程序生成本机系统输入事件。Robot 的主要目的是便于 Java 平台实现自动测试。 使用该类生成输入事件与将事件发送到 AWT 事件队列或 AWT 组件的区别在于:事件是在平台的本机输入队列中生成的。例如,Robot.mou...

2010-06-23 16:27:43 261

原创 笛卡尔曲线

该例子来自《Java案例开发集锦》import java.applet.Applet;import java.awt.Color;import java.awt.Graphics;import java.awt.Image;public class Descartes extends Applet { int AppletWidth,AppletHeight;...

2010-06-23 16:06:07 1175

原创 裁判主导比赛【尼日利亚-希腊 德国-萨尔维亚】

尼日利亚-希腊【折翅雄鹰】今天尼日利亚出局了,尼日利亚和韩国2v2还是出局了,其实送尼日利亚不是韩国队而是对希腊时的裁判。尼日利亚——S-凯塔 写道第33分钟,卡拉古尼斯的远射被尼日利亚后卫顶出。半分钟后,尼日利亚的S-凯塔在无球的情况下故意蹬踏托罗西迪斯,结果被主裁判直接出示红牌将其罚下。 尼日利亚上半场已经1:0领先,而且局面明显占优,哎。后来1:2输了。德国-萨尔维亚【西...

2010-06-23 14:33:46 232

原创 南美洲很猛啊 非洲很郁闷

南美洲很猛五只球队:阿根廷、巴西、乌拉圭、巴拉圭、智利乌拉圭(A组)也是,两胜一平 A组第一出线,1/8对韩国。阿根廷(B组)就不用说了,老马主帅+梅西 已经三战全胜 B组第一出线,1/8对墨西哥。巴拉圭(F组)现在一战一平,现在F组第一,最后对新西兰,很可能第一出线打E组(日本)。巴西(G组)现在两战全胜,现在G组第一,已经出线,1/8对(可以西班牙)智利(H组...

2010-06-23 14:02:27 169

原创 足球是圆的 【法国出局】

“足球是圆的”,挺喜欢这一句话的,自己的理解就是足球比赛有着很大的不确定性。百度上看看是哪个说的:最先讲这句话的是前西德国家队教练赫贝格1954年,西德在决赛中以3:2击败匈牙利,首次夺得世界杯冠军,令人跌破眼镜,因为在不久之前的首轮分组赛,西德还以3:8大败给同一个对手。赫贝格遂说了脍炙人口的那句话:“足球是圆的。”比喻在球场上,什么都会发生。 赫贝格还有另一句名言...

2010-06-23 13:32:48 209

原创 硬件设计中的软件模拟

一般在进行硬件设计的时候都要用软件模拟一下基本的实现。上例子:import java.util.ArrayList;import java.util.List;import java.util.Queue;import java.util.concurrent.ConcurrentLinkedQueue;public class Dido { static fina...

2010-06-22 19:52:45 263

原创 Verilog中初始化ram/rom中的数据

1,readmem,readmemb,readmemh都可以实现:readmemh例子:`timescale 1ns/100psmodule readmem_tb; reg [7:0] Mem[0:'h7ff]; initial begin $readmemh ("frame.mif",Mem); end endmodule 用到的f...

2010-06-22 17:52:14 2808

原创 Verilog例化时的参数传递

【原文】http://www.fpganotes.com/post/32/类似VHDL的Generic语句,Verilog也可以在例化时传递参数例子见http://sutherland-hdl.com/online_verilog_ref_guide/vlog_ref_top.html#8.0%20Module%20Instances传递的参数是子模块中定义的parameter。传递的方法:1...

2010-06-22 11:07:01 3369

原创 Verilog的资料

1,Verilog-1995 Quick Reference Guidehttp://sutherland-hdl.com/online_verilog_ref_guide/vlog_ref_top.html#8.0%20Module%20Instances

2010-06-22 11:05:57 152

原创 Verilog中for语句的使用,简单testbench的写法

1,for语句的使用`timescale 1ns/1ns module add16(a,b,c0,sum,cout); input [15:0] a,b; input c0; output [15:0] sum; output cout; reg [15:0] p,g,sum; reg [16:0] CA; reg ...

2010-06-03 19:17:08 5085

原创 Verilog描述同步复位和异步复位

1,异步复位的实现:`timescale 1ns/1nsmodule d1( input c, input d, input r, output q); reg a; assign q = a; always @(posedge c or posedge r) if(r) a <= 0; else a &lt...

2010-06-03 19:06:04 972

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除