chipscope数据导入matlab

借助matlabchipscope采集的数据进行分析,希望对大家有用!


1、  首先按平时一样用chipscope对数据进行采样!不过为了方便以后导入matlab查看,这里我们建议查看采样信号要使用bus总线方式,这个不多说了,应该都会的。


2、  点击file->export 选项,弹出一个export signals窗口,format选择ascii选项,signals to Export选择bus plot busescore默认就行。

3、  点击export按钮,保存为.prn后缀文件。如username.prn.

4、  打开matlab软件,我们可以直接打开这个.prn文件进行编辑和查看(如果需要),也可以使用matlab命令xlLoadChipScopeData(‘username.prn’);把之前chipscope导出的bus总线数据导入为malab空间变量,并且空间变量的名字和在chipscopebus总线对应信号线的名字一样的。呵呵,信号变量有了,以后就可以方便进行相关信号分析处理了。

 

转自:http://group.ednchina.com/GROUP_MES_14596_2101_53040.HTM

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值