ISE的ChipScope波形存储与Modelsim查看

使用ISE的chipscope查看采集的波形数据并不是很方便。并不像VIVADO和modelsim软件可以直接将数字文件转换为模拟波形文件。下面是通过ISE保存VCD格式文件后使用modelsim打开查看波形文件的方法记录。

  • 添加chipscope到你的工程,添加你需要采集的波形数据。我们这里直接采集FIFO输出端rd_en与rd_data。

  • 在ChipScope中直接将fifo的读使能和读数据添加。

  • 完成后重新生成bit文件。下载文件bit文件到FPGA。
  • 我们这里是将ADC采集的数据直接输入到fifo,在检测到fifo中数据量达到1500后自动读出数据。所以外部添加正弦波输入电压。触发采集。设置触发信号为rd_en为1时。

  • 等待触发

 

  • 触发后将数据保存为VCD格式。依次点击file,export,出现如下界面。

 

  • 这里保存名字为ADC.vcd

 

  • 打开modelsim软件。使用modelsim软件并不能之间打开VCD文件,所以这里需要将VCD文件转换为WLF文件,就可以直接观察了。
  • 新建modelsim工程文件

  • 文件地址保存为你保存VCD文件的目录下。

  •  添加文件。Add existing file,找到你刚才保存的VCD文件,添加。

  •  直接输入命令将VCD文件转化为WLF文件。vcd2wlf ADC.vcd ADC.wlf

  •  我们可以看到文件夹下面多了一个wlf文件。

  •  添加波形文件。

  •  选择radix下的unsigned,选择format下的analog(automatic)

  •  这样就可以方便的看到你采集的数据是否有噪点等等。
  • 5
    点赞
  • 16
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值