弟中弟级,基于Vivado的IP核封装以及仿真调用,FPGA入门小玩

弟中弟级,基于Vivado的IP核封装以及仿真调用,FPGA入门小玩

IP核:知识产权核,指某一方提供的、形式为逻辑单元的可重用模块。IP核通常已经通过了设计验证,设计人员以IP核为基础进行设计,可以缩短设计所需要的周期。

上面一段为形式主义的解释,按照我等弟弟级别的FPGA玩家,理解就是可以将一段代码封装起来,功能告知与你,但是代码却可以让你看不见。举个简单例子,板子上的200M时钟不是我想要的,我想要个45M时钟,正好有个老哥写了个代码,只需要输入200M时钟,出来的就是45M时钟,他不想让你看见,就可以将其封装成IP核,你就可以当成模块一样去调用,只是看不见他的源代码。

废话不多说,上干货,本文的目标是封装并调用一个简单的按键控制led灯亮的IP核
软件:vivado2017.4
在这里插入图片描述
先上一个最终结果图(仿真)
在这里插入图片描述

1.IP核的封装
首先正常创建一个工程,写一个design source
在这里插入图片描述

下面是源代码,十分简单的一个代码,由于手上的板子是一个差分时钟,所以这里也写了个差分时钟

module key_light(
input sys_clk_p,
input sys_clk_n,
input reset,
input key,
output reg led
);
    wire clk;
    IBUFDS
  • 1
    点赞
  • 16
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
Vivado IP核封装是使用Vivado工具对自定义的IP进行打包和封装的过程。首先,你需要在Vivado中打开你的设计项目,并在设计文件中实现你的IP功能。然后,你可以使用Vivado提供的IP封装工具来将你的设计包装成一个可复用的IP核。 具体来说,IP封装的流程如下: 1. 在Vivado中打开设计项目并创建一个新的IP封装工程。 2. 将你的设计文件添加到封装工程中,并进行必要的设置和配置。 3. 确认IP核的接口和功能,并进行必要的修改和优化。 4. 对IP核进行验证和仿真,确保它的功能和性能符合要求。 5. 完成IP封装后,你可以将其导出为一个IP包,以便在其他项目中重复使用。 总的来说,Vivado IP核封装是一个简单而方便的过程,通过几个简单的步骤,你就可以将自定义的IP设计打包成一个可复用的IP核,方便在其他项目中使用。<span class="em">1</span><span class="em">2</span><span class="em">3</span> #### 引用[.reference_title] - *1* [VIVADO 自定义封装ip核(超详细)](https://blog.csdn.net/qq_44517329/article/details/127758601)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v93^chatsearchT3_1"}}] [.reference_item style="max-width: 50%"] - *2* *3* [Vivado进行自定义IP封装](https://blog.csdn.net/qq_33300585/article/details/132034749)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v93^chatsearchT3_1"}}] [.reference_item style="max-width: 50%"] [ .reference_list ]

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值