自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(33)
  • 收藏
  • 关注

原创 基于51单片机的波形发生器proteus仿真数码管LCD12864显示

本系统采用51单片机作为系统的MCU(具体型号见下图),该系统显示器为两个两位数码管,分别显示波形频率和幅度。本系统采用51单片机作为系统的MCU(具体型号见下图),该系统显示器为四位数码管,可实时显示波形的参数情况。本系统采用C51单片机作为系统的MCU,该系统显示器为LCD12864,可实时显示波形的参数情况。系统设计有七个功能按键,其中三个分别是波形选择按键,另外四个按键分别功能是频率加减和幅度加减。可显示四种波形,分别是正弦波 三角波方波锯齿波,且它们的频率范围均是0-400HZ。

2022-11-18 11:52:42 7036

原创 基于51单片机的可调节占空比四种三种波形发生器proteus仿真

可显示三种波形,分别是正弦波 三角波方波,其中三角波频率范围是50-500HZ,方波的频率范围是20-2000HZ,正弦波范围是10-100HZ。本系统采用C51单片机作为系统的MCU,该系统显示器为LCD1602,可实时显示波形的参数情况。波形发生器的核心芯片是利用DAC0832产生+运放经过放大之后输出。三个功能按键分别是波形选择、频率增加、频率减少。波形输出通过仿真软件的示波器可以查看得到。该设计不支持调节波形的占空比。

2022-11-18 10:15:58 4408

原创 基于51单片机手机电话拨号盘模拟proteus仿真

功能简介:1.采用4X4矩阵键盘实现号码输入,并在LCD液晶上显示2.按下enter键,显示calling…,并且蜂鸣器模拟电话拨号发声3.按下*键,退格,按下#键,清楚电话号码4.拨号过程中,长按#取消拨号。

2022-11-17 20:17:44 3102

原创 基于51单片机的超市存包密码柜锁proteus仿真程序设计

之前讲了密码锁,接下来讲一下类似的超市存包密码柜,本次设计的方式是存包之后会自动随机生成一个密码,下次取包的时候输入该密码即可完成取包。

2022-11-17 10:52:33 654

原创 基于51单片机的多功能时钟温度计proteus仿真原理图

本系统采用美国DALLAS公司推出的DS1302实时时钟芯片,工作电压为2.5V-5.5V,采用三线接口与CPU进行同步通信,并可采用突发式一次传送多个字节的时钟信号或RAM数据,该芯片是采用串行方式的实时时钟芯片,串行方式的实时时钟芯片大多数是将地址线、数据线、控制线合为一根串行传输数据的传号线,这种方式的有点是信号线少、电路连接简单、节省系统资源和电路板的面积,缺点是程序编写复杂、工作量比较大,且操作速度较慢,接下来我们来分析一下DS1302的性能与原理。Vcc1、Vcc2:工作电源、备份电源引脚。

2022-11-16 12:04:13 2006

原创 基于51单片机的智能冰箱鱼缸淬火炉温度控制系统proteus仿真

今天讲解一个温度控制系统的设计,温度控制系统我们在家庭冰箱、鱼缸或者工厂的淬火炉中常常见到,原理其实不复杂:通过人机交互设置好温度的工作范围,外设具有降温和升温设备,当环境温度高于预设温度值,降温设备开始工作,反之,当环境温度低于预设温度值,升温设备开始工作。

2022-11-15 22:14:59 1503

原创 基于STM32F103单片机的生理监控心率脉搏监控TFT彩屏显示

光电传感器将脉搏信号转换为电信号,此装置需要把手指放在传感器表盘上,光电传感器,此传感器是集成了放大电路和噪声消除电路的光学心率传感器,光电传感器一侧的发光二极管发光,当脉搏跳动时,指尖或者耳垂的动脉血管血容量发生周期性变化,透过指尖的光强度同时发生变化。选择此款控制芯片是因为本系统设计并非追求成本的最低或更小的功耗,而是在实现本设计功能的前提下能够提供更丰富的接口和功能以便于设计实验系统各实验项目所需的外围扩展电路。2、TFT液晶实时显示采集到的的模拟信号的曲线图,直接显示心率变化曲线。

2022-11-15 16:08:29 2014

原创 基于51单片机的智能窗帘proteus仿真LCD1602显示光强烟雾控制

A. 由LCD1602作为显示,具有DS1302时间显示,6个按键设置相关参数,电位器模拟光强,两个电机模拟窗帘,具有手动和自动两种模式;上一篇咱们讲了基于数码管显示的智能窗帘,本篇讲一下基于LCD1602显示,MCU同样采用的是51单片机。B. ADC0832作为ADC,ULN2003作为驱动IC,LED指示此时的模式,烟雾按下触发蜂鸣器报警;A. 由LCD1602作为显示器,按键控制设置,具有时间显示,温度显示以及模拟烟雾;

2022-11-15 09:28:18 1665

原创 基于51单片机的波形发生器汇编语言proteus仿真

【代码】基于51单片机的波形发生器汇编语言proteus仿真。

2022-11-14 19:33:50 2663

原创 基于51单片机的微波炉仿真原理图程序方案设计

功能介绍:0.本系统采用STC89C52作为单片机1.四位数码管作为显示器2.多个功能按键可实现档位、加热时间、冷却时间等操作调整3.利用三极管模拟驱动加热设备4.采用DC002作为电源接口可直接输入5V给整个系统供电。

2022-11-11 21:10:56 1092

原创 基于51单片机的三路多点温湿度检测超阈值报警proteus仿真原理图PCB

4.采用DC002作为电源接口可直接输入5V给整个系统供电。2.当温度超过设定温度或湿度阈值范围时,蜂鸣器报警。3.按键可设定温度阈值或湿度阈值/可控制报警开关。1.LCD1602液晶实时循环显示多点温湿度值。0.本系统采用STC89C52作为单片机。

2022-11-02 08:59:35 932

原创 基于51单片机MAX517四种波形发生器proteus仿真

仿真电路图:参考部分代码#include <reg52.h>#include <math.h>#define ADDR1 0x2c //MAX5820LEUAsbit key_sin1=P1^0;sbit key_tran=P1^2;sbit key_tooth=P1^4;sbit SCL = P2 ^ 0;sbit SDA = P2 ^ 1;unsigned char code sin1[256]= //正弦表 { 0x80,0x8

2021-11-18 18:10:30 3029

原创 基于FPGA的序列检测器仿真

//synopsys translate_off`timescale 10 ns/ 1 ps//synopsys translate_onmodule xulie_top_vlg_tst();// constants // general purpose registers// test vector input registersreg clk;reg reset_n;.

2021-11-15 17:31:13 622 1

原创 基于FPGA的智力抢答器Verilog仿真设计

部分代码://synopsys translate_off`timescale 10 ns/ 1 ps//synopsys translate_onmodule display( clk, rst_n, duan, wei, start, choose, num_choose, display_score_ge, display_score_shi, start_en,

2021-11-10 19:37:29 4129 1

原创 基于FPGA的乒乓球比赛游戏

组成框图:部分代码乒乓球游戏机核心控制模块:module led_con(clk_1s,reset,start,key_a,key_b,led_pose,sum,score_a,score_b,speaker,led);input clk_1s;input reset;input start;input key_a;input key_b;input led_pose;output[4:0] sum;output[3:0] score_a;output[3:0] score_b;

2021-11-10 19:23:04 5047 1

原创 基于FPGA的飞机小游戏设计vhdl

设计框图:部分代码library IEEE;use IEEE.STD_LOGIC_1164.ALL;use IEEE.STD_LOGIC_ARITH.ALL;use IEEE.STD_LOGIC_UNSIGNED.ALL;entity move is Port ( fire,reset,start : in std_logic; --子弹、复位、开始按键 key_l:in std_logic; --左移 key_

2021-11-10 19:19:33 3885 1

原创 基于FPGA的键盘编解码演示系统

功能要求是:1、能将键盘输入数据的十六进制代码显示在数码管上;2、能将按键对应的字符显示在字符型液晶屏上;3、能将按键对应的字符显示在VGA上;部分参考代码:/************************************************************************************///LCD1602的显示///********************************************************************

2021-11-10 19:14:07 503 1

原创 基于FPGA的数字洗衣机Verilog开发Modelsim仿真

部分参考代码(末尾附文件)module XiYiJi( input clk, input reset_n, input add_set, input start_set, input stop_set, output led_1, output led_2, output led_3, output [5:0] sm_cs, output [7:0] sm_db, output alarm);wire add_set_r;wire start_set_r;

2021-05-29 11:40:49 1822 1

原创 基于FPGA的数字电子密码锁Verilog开发Modelsim仿真

部分参考代码(末尾附文件)module MiMaSuo ( input clk, input rst_n, input ling, input yi, input er, input san, input si, input wu, input liu, input qi, input ba, input jiu, input gm, input del, input unlock, output wrongled, output lockled, out

2021-05-29 11:38:34 3793 1

原创 基于FPGA的自动售货机Verilog开发Modelsim仿真

部分参考代码(末尾附文件)module Sell( input clk, input reset_n, input yiyuan_set, input wuyuan_set, input shiyuan_set, input ok_set_r, input [3:0] good_sel, input [7:0] good_price, output [3:0] current_price, output [3:0] current_num, output [3:0]

2021-05-29 10:19:36 1782 1

原创 基于STM32F103单片机的直流电压电流检测仪原理图PCB设计

本系统由STM32F103C8T6单片机核心板、ACS712电流检测模块、电压采集、LCD1602液晶及电源组成。1、通过单片机检测电压(15V内)和直流电流(5A内),并在1602液晶上显示。2、电压和电流的显示最小单位0.1V,0.1A。功率=电压*电流。3、通过大功率电阻作为假负载产生电流以备检测。4、如果检测外部电压或者电流大小,一定要将功率负载电阻去掉。STM32F103C8T6单片机核心板:USB供电接口,复位按键 开关按键合理优秀的布局布线:

2021-04-29 10:46:52 10437 1

原创 基于STM32F103单片的机便携式红外人体测温仪TFT彩屏显示

系统功能设计(末尾附文件)本系统由STM32F103C8T6单片机核心板、MLX90614ESF红外非接触温度检测、触摸屏液晶显示、蜂鸣器报警及电源组成。1、触摸屏显示非接触测温测得的环境温度值和被测物体温度值以及物体温度阈值。2、可以通过触摸屏设置温度阈值,如果MLX90614检测到的物体温度超过阈值,蜂鸣器报警,否则不报警。3、触摸屏上有相应显示,显示触摸+区域和触摸-区域。4、测量距离一般在传感器上面1-2cm左右,不要紧贴着传感器或者测量距离过远。4、GY-906 MLX90614E

2021-04-29 10:39:12 1666

转载 基于STM32F103单片机的太阳能路灯无线控制路灯原理图PCB

系统功能设计本系统由STM32F103C8T6单片机核心板、太阳能电池板、锂电池充放电保护、升压、WIFI模块、高亮LED灯、光照检测组成。1、通过太阳能给锂电池充电,具有充电保护。锂电池通过升压升压到5V给整个单片机系统供电;2、上电默认自动状态,通过光敏电阻检测光照,进而控制灯的亮度,天越黑,灯越亮;分0-4档。3、可以通过手机APP,通过蓝牙控制灯的亮灭,点击“关闭”将关闭Led;点击“打开”将根据光照情况控制led灯即步骤2的作用。4、单片机检测太阳能电池板的电压,并将太阳

2021-04-29 10:36:30 6000

原创 基于51单片机的贪吃蛇小游戏8X8点阵 proteus仿真原理图程序

硬件设计基于C51作为MCU一块8X8点阵作为游戏的显示器四个按键作为操控按键仿真图:程序设计#include <reg51.h>#define uchar unsigned char#define SNAKE 20 //最大长度#define TIME 50 //显示延时时间#define SPEED 71 //速度控制//#define keyenabl

2021-02-05 18:18:31 6332

转载 基于51单片机的俄罗斯方块小游戏8X8点阵 proteus仿真原理图程序设计

前言之前讲过基于LCD12864的俄罗斯方块小游戏,接下来讲一讲基于8X8点阵的俄罗斯方块硬件设计MCU同样是基于C51、两个8X8点阵组合成游戏显示区域4位数码管作为分数记分牌仿真图:程序设计#include<reg52.h>#include<stdlib.h>#include<math.h>#define uchar unsigned charsbit slock=P2^7; //译码器输出使能端sbit upk

2021-02-05 17:44:19 4640

原创 基于51单片机的俄罗斯方块小游戏proteus仿真LCD12864原理图程序设计

硬件设计原理图1:仿真图1:仿真图2:#include <REGX52.H>#include"pic.c"#include <intrins.h>#define LCD_DATA P2#define button_delay 150 //按键延时#define button_acceleration 65 //按键加速度阈值#define GAME_LOCATION 30sbit button_a = P3^4; //变形sbit bu

2021-02-05 17:19:52 5162

转载 基于51单片机的简易数字电压表proteus仿真原理图程序设计

硬件电路设计原理图:仿真图:单片机的时钟电路与复位电路设计本系统采用STC系统列单片机,相比其他系列单片机具有很多优点。一般STC单片机资源比其他单片机要多,而且执行速度快;STC系列单片机使用串口对单片机进行烧写,下载程序较为方便;STC51单片机内部集成了看门狗电路;且具有很强抗干扰能力。本系统采用内部方式的时钟电路和加电自复位的复位电路,如下图3图4所示:由于单片机P0口内部不含上拉电阻,为高阻态,不能正常地输出高/低电平,因而该组I/O口在使用时必须外接上拉电阻。

2020-08-02 22:05:36 8893

原创 基于51单片机的智能窗帘仿真原理图程序设计

仿真图:原理图:系统概述整个系统以STC89C52单片机为核心器件,配合电阻电容晶振等器件,构成单片机的最小系统。其它个模块围绕着单片机最小系统展开。其中包括,显示设备使用1602液晶,可以同时显示年、月、日、时、分、控制模式、光照强度等基本信息;时钟模块采用DS1302芯片,初始化之后,就会开始运行计算时间,单片机只需进行时间信息的读取即可;光照检测电路采用光敏电阻和模数转换芯片ADC0832,将模拟量数字化并显示出来;窗帘使用步进电机进行代替模拟,同时配有一个LED灯用来指示窗帘当前的开关状态

2020-08-02 21:57:28 14478

原创 基于51单片机的太阳能锂电池充电器系统电压电流检测方案原理图设计

系统功能分析本系统具体框图如下图所示本设计由STC89C52单片机+LCD1602液晶显示电路+A/D转换芯片PCF8591电路+电压检测电路+电流检测电路ACS712-5A+继电器控制电路+电源电路设计而成。1、通过太阳能电池板给锂电池充电,通过单片机检测太阳能给电池的充电电压和充电电流,并在1602液晶上显示出来!2、通过继电器,有过压保护,当锂电池充电电压超过了4.5V或者充电电流超过1A,继电器断开,充电停止。3.1.2系统总体结构原理图PCF8591 A/D采样电路设计本系统选

2020-07-27 20:07:50 8798

原创 基于51单片机的万年历proteus仿真原理图方案设计

(末尾附文件)系统框图原理图仿真图最小系统电路STC89C52的最小系统如图3-3所示,整个最小系统由三个部分组成,晶振电路部分、复位电路部分、电源电路等三个部分组成。晶振电路包括2个30pF的电容C2和C3,以及12M的晶振X1。电容的作用在这里是起振作用,帮助晶振更容易的起振,取值范围是15-33pF。晶振的取值也可以是24M,晶振的取值越高,单片机的执行速度越快。在进行电路设计的时候,晶振部分越靠近单片机越好。单片机复位电路就好比电脑的重启部分,当电脑在使用中出现死机,按下重启按钮

2020-07-20 21:10:44 13800

转载 基于51单片机智能电子秤方案原理图程序设计

硬件设计单片机最小系统对51系列单片机来说,最小系统一般应该包括:单片机、晶振电路、复位电路。下面给出一个51单片机的最小系统电路图。复位电路:一、复位电路的用途:单片机复位电路就好比电脑的重启部分,当电脑在使用中出现死机,按下重启按钮电脑内部的程序从头开始执行。单片机也一样,当单片机系统在运行中,受到环境干扰出现程序跑飞的时候,按下复位按钮内部的程序自动从头开始执行。单片机复位电路如下图:二、复位电路的工作原理在书本上有介绍,51单片机要复位只需要在第9引脚接个高电平持续2US

2020-07-17 17:26:06 8141

原创 基于51单片机的智能水表水流量计流量报警器温度设计方案原理图

本系统由STC89C52单片机电路+水流量传感器+DS18B20温度电路+继电器电路+LCD1602液晶显示电路+按键电路+蜂鸣器电路+电源电路组成。系统总体结构(文末附文件)3.2模块电路的设计3.2.1 STC89C52单片机核心系统电路设计STC89C52RC是STC公司生产的一种低功耗、高性能CMOS8位微控制器,具有8K字节系统可编程Flash存储器。STC89C52使用经典的MCS-51内核,但是做了很多的改进使得芯片具有传统51单片机不具备的功能。在单芯片上,拥有灵巧的8 位CPU

2020-07-16 21:06:49 5118 1

原创 基于STM32F103单片机智能安全门禁人体感应报警方案设计

硬件电路的设计(文末附资料)系统总体结构本系统具体框图如下图所示:STM32单片机核心电路设计STM32系列处理器是意法半导体ST公司生产的一种基于ARM 7架构的32位、支持实时仿真和跟踪的微控制器。选择此款控制芯片是因为本系统设计并非追求成本的最低或更小的功耗,而是在实现本设计功能的前提下能够提供更丰富的接口和功能以便于设计实验系统各实验项目所需的外围扩展电路。此款控制芯片在完成单片机课程的学习后上手较为容易,在医疗器械中应用广泛,具有很好的学习、实验研究价值。一、STM32的主要优点:

2020-07-16 15:58:16 5349 1

空空如也

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除