自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+

锦小年的博客

我的存在,就是用我的经验帮你少走弯路

  • 博客(4)
  • 资源 (11)
  • 收藏
  • 关注

原创 2.3 tensorflow单机多GPU并行

现在很多服务器配置都是单机上配有多个GPU卡。tensorflow默认占用全部的gpu的显存,但是只在第一块GPU上进行计算,这样对于显卡的利用率不高。1. 指定运行GPU,不占用其他gpu的显存。import osos.environ["CUDA_VISIBLE_DEVICES"] = "0,1" # 指定gpu编号,从0开始这样可以在不同的卡上运行不同参数的程序,达到调参的目的。2...

2019-06-30 14:30:40 30301 14

原创 服务器运维1-failed to start LSB

有一天,服务器网络崩了,不知道怎么回事,重启后显示错误信息:Failed to start LSB:bring up/down network。就错误代码来看,应该是网卡没能启动。进入系统,查看网络配置:ifconfig,果然没有能够获取到ip地址:解决办法:重启网络:service network restart提示ok就解决了。内核4.4不能进图形界面,因为驱动的原因。显示器...

2019-06-10 18:41:58 22213 2

原创 网页部署https后浏览器访问风险提示或者您的链接不是私密链接解决方案

1. 问题描述刚做web应用的时候,对于https不是很了解,按照网络教程部署(参考文章:https://www.cnblogs.com/hld123/p/6343437.html )好https后,浏览器访问风险提示(chrome提示如图,不同浏览器提示内容不一样):这是因为https部署中的ssl证书是自签名证书,也就是自己生成的签名证书(生成过程见参考文献),浏览器当然不认。采用公认的...

2019-06-01 08:16:50 29030

原创 unable to load Private Key 6572:error:0906D06C:PEM routines:PEM_read_bio:no start line:.\crypto\pem\

1. 问题描述在win系统下apache部署https的时候需要生成自签名证书(完整部署过程参考:https://www.cnblogs.com/hld123/p/6343437.html),这时候需要用到openssh,第一步的命令为:openssl genrsa 1024 >server.key这时候生成了可以,不过由于系统是win,key的文件格式不是utf-8,所以在第二个命...

2019-06-01 08:03:40 55140 4

可以交互的世界地图网页模板

可以交互的世界地图网页模板,直接下载可以使用,可以修改不同国家的颜色。显示国家的颜色随着鼠标变化而变化。

2019-03-13

非线性优化计算方法-袁亚湘

本书系统和深入介绍非线性优化的主要计算方法和相关理论,主要内容包括:一维优化方法、 梯度法和共辄梯度法、 拟牛顿法、 直接方法、 二次规划、 罚函数法、 可行方向法、 逐步二次规划法、 信赖域法L内点法、滤子方法等

2018-06-19

sift特征匹配_纯c语言实现

SIFT特征匹配纯C语言代码,不调用opencv!不调用opencv!不调用opencv!结果存为txt,vs2013开发,里面有图片直接运行。

2018-06-14

mnist手写数字格式转换代码(python)

利用python,将mnist手写数据集合的原始格式转换为机器学习常用的训练集和测试集的矩阵。

2017-10-24

北京市电子设计大赛模块驱动(msp430g2+FPGA)

北京市电子设计大赛模块驱动(msp430g2+FPGA),2016年北京市电子设计大赛开发板驱动

2016-12-20

FPGA LCD1602驱动

FPGA LCD1602驱动,亲测有用

2016-12-20

fpga rs232代码

fpga rs232代码

2016-12-20

FPGA+ADC驱动+lcd显示

FPGA+ADC驱动+lcd显示,xilinx zedboard 亲测 adc是AD0809 对学习fpga非常有用

2016-12-20

FPGA VGA显示16个方格

FPGA VGA显示16个方格以及相关详细资料

2016-12-20

FPGA VGA显示

FPGA VGA显示,16个方格,以及移动方格

2016-12-20

STM32F103C8T6+FreeRTOS+Keil5 代码(亲测完美)

STM32F103C8T6+FreeRTOS+Keil5 代码(亲测完美),3路USART,4路LED,一个阀门驱动,一个EEProm,两路ADC

2016-12-20

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除