PYNQ-Z2 初识(十) PS端去控制PL端——还是点个灯/(ㄒoㄒ)/~~

工程创建

首先创建一个工程,就叫ps_axi_led吧,这次我们可以在boards那里选择pynq
在这里插入图片描述
先来创建一个block设计,这个我暂且理解成ip设计的图纸吧。

串口配置

添加zynq处理器并双击打开,在外设那里把uart0勾上,使能串口
在这里插入图片描述
教程中要求把bank1设置为1.8V,我也不知道为啥。
在这里插入图片描述

ddr配置

打开原理图可以查到
在这里插入图片描述
ddr3的型号是MT41K512M16HA-125:A
不过这个里面没有,就用这个最接近的re
在这里插入图片描述

GPIO配置

添加一个axi_gpio核,然后打开,把GPIO选择为leds
在这里插入图片描述
然后依次Run Block Automation 和 Run Connection Automation
接下来为其生成一个顶层文件
在这里插入图片描述

约束文件

如上一篇类似,我们直接添加一个约束文件

set _property - dict {PACKAGE PIN R14 I0STANDARD LVCMOS33} [get_ports {leds_4bits_tri_io[0]}];
set _property - dict {PACKAGE PIN P14 IOSTANDARD LVCMOS33} [get_ports {leds_4bits_tri_io[1]}];
set _property - dict {PACKAGE PIN N16 IOSTANDARD LVCMOS33} [get_ports {leds_4bits_tri_io[2]}];
set _property - dict {PACKAGE PIN M14 IOSTANDARD LVCMOS33} [get_ports {leds_4bits_tri_io[3]}];

有了之前的知识可以轻易看出这是把LED的四个引脚分别进行了约束,并设置其高电平是3.3V

接下来老规矩,生成bitstream

SDK的使用

在Bitstream生产完成后
点击File→Export->Export Hardware
勾选incluede bitstream
然后File→lauch SDK
这个sdk就是之前学习时候提到的 software development kit

然后新建一个application project

如果不选默认类型的话会给你建一个hello world,咱们就来看看这个hello world

#include <stdio.h>
#include "platform.h"
#include "xil_printf.h"


int main()
{
    init_platform();

    print("Hello World\n\r");

    cleanup_platform();
    return 0;
}

咱们是需要实现io功能的,所以补上io的库,以及相应的代码,有

#include <stdio.h>
#include "platform.h"
#include "xil_printf.h"
#include "xil_io.h"
#include "xgpio.h"


int main()
{
    init_platform();

    XGpio led;//定义变量led
    XGpio_Initialize(&led,XPAR_AXI_GPIO_0_DEVICE_ID);//初始化GPIO
    XGpio_SetDataDirection(&led,1,0x00);//设置io方向为输出
    XGpio_DiscreteWrite(&led,1,0x7);//输出0x07,即二进制的0111,点亮三个灯
    print("Hello World\n\r");

    cleanup_platform();
    return 0;
}

来看一下这几个简单函数,很显然应该是在xgpio.h这个头文件中。
第一个定义的变量led是一个结构体,其可以包含设备地址,设备状态,终端支持,双通道等信息

typedef struct {
	UINTPTR BaseAddress;	/* Device base address */
	u32 IsReady;		/* Device is initialized and ready */
	int InterruptPresent;	/* Are interrupts supported in h/w */
	int IsDual;		/* Are 2 channels supported in h/w */
} XGpio;

那么接下来肯定要对变量初始化,看下这个初始化函数,其传入参数是对象的指针,嗯咱们是把led对象取地址放进去了,没问题,后门的device id放啥呢?这个在stdio.h里面有定义

#define XPAR_AXI_GPIO_0_DEVICE_ID 0
int XGpio_Initialize(XGpio * InstancePtr, u16 DeviceId)
{
	XGpio_Config *ConfigPtr;

	/*
	 * Assert arguments
	 */
	Xil_AssertNonvoid(InstancePtr != NULL);

	/*
	 * Lookup configuration data in the device configuration table.
	 * Use this configuration info down below when initializing this
	 * driver.
	 */
	ConfigPtr = XGpio_LookupConfig(DeviceId);
	if (ConfigPtr == (XGpio_Config *) NULL) {
		InstancePtr->IsReady = 0;
		return (XST_DEVICE_NOT_FOUND);
	}

	return XGpio_CfgInitialize(InstancePtr, ConfigPtr,
				   ConfigPtr->BaseAddress);
}
/** @} */

下一步就是设置io方向

void XGpio_SetDataDirection(XGpio *InstancePtr, unsigned Channel,
			    u32 DirectionMask);

也是对象地址,然后就是通道以及方向

最后就是写入数据了

void XGpio_DiscreteWrite(XGpio *InstancePtr, unsigned Channel, u32 Mask);

烧写程序

点击在这里插入图片描述
编程FPGA,在下方选择sdk terminal然后点击绿色加号连接板子的串口。

右键工程,选择 launch on hardware(GDB)
在这里插入图片描述

结果

在这里插入图片描述

在这里插入图片描述

  • 3
    点赞
  • 18
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 4
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 4
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

豆沙粽子好吃嘛!

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值