实验三、CPU 部件实现之 PC 和半导体存储器 RAM

实验三、CPU 部件实现之 PC 和半导体存储器 RAM

一、实验目的:

理解和掌握 CPU 中程序计数器 PC 和半导体存储器 RAM 的工作原理,并使用 Verilog

和 ModelSim 进行设计和仿真。

二、实验内容:

  1. 使用 Verilog 完成程序计数器 PC 的设计,要求: PC为8位计数器

  2. 使用 Verilog 完成数据存储器的设计,并编写测试仿真文件验证其正确性。要求 存储字长 16 位,存储容量 1K 字节;
     一根读写控制信号线控制读写,低电平有效。

三、实验原理

请根据实验内容要求分别介绍 PC 和 RAM 的原理图和工作原理

四、实验步骤

  1. Verilog 关键代码描述

  2. 测试文件描述

  3. ModelSim 仿真(含仿真分析与总结)

五、总结

//PC
module pc(
  input wire clk, rst,
  output reg [7:0] pc
);
  
  always@(posedge clk) begin
    if(rst == 1)
      pc = 0;
    else
      pc = pc + 1;
  end

endmodule

//RAM
module memory(
  input wire clk, WE,
  input wire [15:0] datain,
  input wire [8:0] address,
  output wire [15:0] dataout
);
  
  reg [15:0] unit[9'b111111111:0];
  
  assign dataout = unit[address];
  
  always@(posedge clk) begin
    if(WE == 0)
      unit[address] <= datain;
  end
  
endmodule

 

评论 2
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值