实验:使用D触发器实现PC(程序计数器)

一.要求

D触发器结构,用于存储PC(一个周期)

两个输入:clk与rst,分别连接时钟信号与复位信号

两个输出:pc与inst_ce,分别连接指令存储器的addra与ena

二.verilog代码

`timescale 1ns / 1ps
//
// Company: 
// Engineer: 
// 
// Create Date: 2021/06/05 13:33:30
// Design Name: 
// Module Name: pc
// Project Name: 
// Target Devices: 
// Tool Versions: 
// Description: 
// 
// Dependencies: 
// 
// Revision:
// Revision 0.01 - File Created
// Additional Comments:
// 
//

//使用D触发器实现PC
module pc(
    input wire clk,
    input wire rst,
    output reg [31:0]pc,
    output reg inst_ce
    );
    reg [31:0] count;
    initial count = 0;
    always @(posedge clk)begin
        if(rst)begin
            count<=0;
            inst_ce<=0;
        end
        else begin
            count<=count+4;  //自增加4
            inst_ce<=1;
            pc<=count;
        end
    end
endmodule
评论 2
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

比奇堡咻飞兜

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值