FPGArduino在DE2-35上的移植

本文详细介绍了如何将FPGArduino项目移植到DE2-35 FPGA开发板上,包括下载源代码、修改配置文件、设置时钟频率、配置管脚、安装ArduinoIDE以及进行程序编译下载的过程。
摘要由CSDN通过智能技术生成

FPGArduino是一个挺有意思的项目,将一块FPGA开发板变为一个Arduino板,并且可以使用Arduino IDE进行程序编译下载,FPGArduino已经在很多FPGA开发板上试验过,可以参考http://www.nxlab.fer.hr/fpgarduino/,但是其中列出的FPGA开发板我都没有,只有一块DE2-35,幸好FPGArduino在DE0-nano上移植了,可以参考这个进行修改,下面就是修改步骤。


1、在http://www.nxlab.fer.hr/fpgarduino/下载源代码,本地文件夹名称为f32c-master。


2、安装Quartus II13.0SP1

3、在f32c-master\rtl\proj\altera目录下新建文件夹de2,内容就是de0nano目录下的文件,将bram文件夹下的de0_bram.qpf重命名为de2_bram.qpf

 4、在f32c-master\rtl\altera目录下新建文件夹de2,其内容就是de2nano目录下的文件。

 5、修改f32c-master\rtl\proj\altera

评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值