VHDL练习_基本语法

--21片选
library IEEE;
USE IEEE.STD_LOGIC_1164.ALL;

entity mux21 is
	port (
	a,b:	IN STD_LOGIC;
	s:		IN STD_LOGIC;
	y:		OUT STD_LOGIC
	);
END entity mux21;

architecture one of mux21 is 
begin
	y<=a when s='0' else
	   b when s='1';
end architecture;

--1位锁存器
library IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
entity latch is
	port (
		D:	IN STD_LOGIC;
		EN:	IN STD_LOGIC;
		Q:	OUT STD_LOGIC	
	);
end entity latch;
architecture one of latch is
signal sig_save : STD_LOGIC
begin
	process (D,EN)
	begin
		if EN = '1' then 
			sig_save <= D;
		end if;
		Q<=sig_save;
	end process;
end architecture one;

--全加器元件
library IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
	--或门
entity or2 is 
	port(
		a,b:	in STD_LOGIC;
		c:		out STD_LOGIC
	);
end entity or2;
architecture fun1 of or2 is 
begin
	c<= a or b;
end architecture fun1;
	-
  • 1
    点赞
  • 2
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值