FPGA入门笔记五 VHDL基本语法-框架

本文介绍了FPGA学习的基础,特别是针对XC7K325T项目,涉及VHDL的基本语法,如引用库、实体(Entity)的使用。讲解了如何使用IEEE库和Xilinx的UNISIM库,并通过实例展示了实体的结构体描述,包括行为描述、结构描述和数据流描述。文章以理解Top_Module顶层文件框架为目标,适合初学者快速掌握VHDL入门知识。
摘要由CSDN通过智能技术生成

准备开始学习项目程序,XC7K325T,由于用到了VHDL,先学习一些简单的语法,能看懂程序就行,重点还是verilog。

1、引用库

library IEEE;    //表示打开IEEE库,因为IEEE库不属于VHDL的标准库,所以使用库的内容要先声明
use ieee.numeric_std.all;   //USE和ALL是关键词,表示允许使用IEEE库中numeric_std程序包中的所有内容,这个程序包主要是用来做数据类型转换
use ieee.std_logic_unsigned.all;  
use ieee.std_logic_misc.all;
use ieee.std_logic_1164.all;
library UNISIM;   //UNISIM是xilinx的库函数,为了仿真使用。Using this declaration, the simulator references the functional models for all device primitives. In addition to this declaration, you must compile the library and map the library to the simulator.
use UNISIM.VCOMPONENTS.ALL;


程序包的使用都可以在EDIT->language templates->VHDL->Comm

  • 7
    点赞
  • 39
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值