哥写的不是代码,是艺术!

本文来自http://blog.csdn.net/lijun84 ,引用必须注明出处!

 

 

这阵子终于可以精雕细琢的编写一些想了很久的东西,没有 schedule 的压力,没有 QA 的追杀,没有强迫自己实现的需求,这种感觉久违了,很喜欢那种体味每句代码并精心雕琢它们的感觉。

顺便把很久前就想抒发的代码情节释放出来。纯粹个人抒发,别 challenge 我喔 我不回复的

 

从纯艺术的角度抒发一下代码美学:

一段美的代码是当你初看它时感觉似曾相似,与你期望对此功能的实现方式基本相符,当你读到更多其它部分时,不断的感叹原来它还为这些独立部分做了这么巧妙的贡献啊,当你读完整个系统后发现原来很多这样的代码部分都这么的自然和谐并有层次的关联着但又不过份耦合。它们是那么的恰到好处,一点不多,一点不少。顿时一股热流从内心涌向头部,就像一种让能让你舒服的电流释放到全身。同时发自内心的感叹它真的是一部艺术品。

 

再从代码设计角色考虑下:

也许一些资深的设计者会认为这种大量影响到其它部分的代码会不会破坏很多设计准则,变的太耦合? 我的答案是,不必太追求解耦,只要它给你感觉是自然的,是能经的起长时间维护的,它就是美的。

 

碎语论代码:

看似好的设计,不一定真的那么“好”

看似差的代码,不一定真的那么“坏”

所以不要对一个设计或一段代码过早的下结论。

 

不要让任何一种风格或设计理念主宰你的所有 项目。

 

设计模式和理念要考虑,要融入,但它一定要是必须的,自然的,和谐的。因为自然的东西本身就隐含了稳定和可维护性。

 

佛祖亿万化身,观音三十六相,讲的是“妙用”。

 

我的亲身例证(用感觉写代码):

也许代码向来都是逻辑性很强的学问,往往和浮想联翩的小说家或者画家诗人格格不入。以前我这么认为过,但经过一次事改变了我的看法。

06 年的夏天,和朋友吃过烧烤喝了不少啤酒,有些醉意,到家后突然有了写代码的激情,打开显示器,桌面在眼前晃动鼠标乱跳(你懂得),我就像诗人一样,写起了代码,也不知道什么时候,怎么睡着了。其实第二天醒来真的什么也记不起,只有点点小印象,我昨晚醉了还坐在电脑前的。但我拾起工作看到醉酒时写的那段代码,我震惊了 这样的群映射算法绝对不可能在我无意识状态实现的呀!就算我状态好时也不一定能写出这样漂亮的算法和代码来。它是我写的吗?我疑惑了。

 

经过这些年的感悟,我终于明白了:

接近纯粹的“感觉”不是你。你可以借用,因为它的处理能力和速度大大超出我们。前提是请相信感觉。就像我们生活的系统提供的 API 。有 API 我们为何还要重新实现?不要像尼采一样,用自己的大脑创造整个世界,变疯了

 

所有领域简单归纳到三个境界:

经过大量积累和努力可以比较容易到达艺术的境界

经过多种学科和知识领域融合抽象可以进入哲学境界

经过体悟修心悟道进入无限接近道的境界

 

《入侵的艺术》一书中我最有同感的一段:

Adrian的过人之处:
Adrian不是一名典型的黑客,他对任何程序语言都不是很熟练。他的成功依靠分析别人如何思考,如在网络管理员建立网络结 构时,思考他们会怎样建立系统,以及系统会使用什么程序。尽管 他描述自己瞬间记忆很差,但他能通过探查公司的Web应用程序, 找到漏洞进行登录,耐心地建立起心理图表,弄清这些部件怎样连入侵的艺术接,直到所有的问题都全部显现。而公司认为这些问题隐藏在不可 进入的黑暗处,可以安全地抵制入侵。

 

他自己的描述出乎人们的意料:我确信所有复杂的系统都有共同的属性,不管是一台计算机或是整个宇宙。我们自己作为系统的一个方面,分享着这些共性。如 果你能对这些模式有潜意识的感觉,理解它们,它们就会听你的, 带领你进入奇妙的境地。

 

充当黑客对于我来说,不是一门技术,更多的是一种信仰。


我的猜想:

也许意识,思维,逻辑,数学都只是大脑这个人体器官的感觉。

评论 6
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值