实战--FPGA
FPGA(Field Programmable Gate Array)是在PAL、GAL等可编程器件的基础上进一步发展的产物。它是作为专用集成电路(ASIC)领域中的一种半定制电路而出现的,既解决了定制电路的不足,又克服了原有可编程器件门电路数有限的缺点。
路途…
Reading is a long investment.During this process, find the entertainment and enjoy life while treasure the present to compound interest.
展开
-
FPGA开发流程
DSP:实际上就是乘加器,FPGA内部可以集成多个乘加器,而一般的DSP芯片往往每个core只有一个。换言之,FPGA可以更容易实现多个DSP core功能。在某些需要大量乘加计算的场合,往往多个乘加器并行工作的速度可以远远超过一个高速乘加器。SERDES:高速串行接口。将来PCI-E、XAUI、HT、S-ATA等高速串行接口会越来越多。有了SERDES模块,FPGA可以很容易将这些高速串行接口集...转载 2018-07-10 22:27:58 · 19518 阅读 · 0 评论 -
VHDL 加法器
-用结构方法设计一个半加器。两个一位二进制数Ai 和Bi相加,Si为半加器的和,Si+1为进位输出。--第一步设计低层实体:xor_gate Library ieee;Use ieee.std_logic_1164.all;Entity xor_gate isPort( Op1 :in std_logic; Op2 :in std_logic ; Xor_resul...原创 2018-07-20 11:40:29 · 1510 阅读 · 1 评论 -
3-8译码器与分频器
练习demo: LIBRARY IEEE; --38decoderUSE IEEE.std_logic_1164.ALL;ENTITY decoder_38 ISPORT(a,b,c,g1,g2a,g2b:IN std_logic; y:OUT std_logic_vector(7 DOWNTO 0));END decoder_38;AR...转载 2018-07-20 11:34:30 · 1268 阅读 · 0 评论 -
FPGA 练习demo
demo1:FPGA驱动LED静态显示library IEEE;use IEEE.STD_LOGIC_1164.ALL;use IEEE.STD_LOGIC_ARITH.ALL;use IEEE.STD_LOGIC_UNSIGNED.ALL;entity decoder isPort (seg:in std_logic_vector(3 downto 0 ); --四位二进制码...原创 2018-07-20 11:30:22 · 815 阅读 · 0 评论 -
FPGA 优秀学习代码
https://github.com/HengRuiZ/from-key-array-to-the-LED-lattice/blob/master/README.md原创 2018-07-20 11:25:20 · 4298 阅读 · 0 评论 -
四位比较器
四位比较器demo:library IEEE;use IEEE.STD_LOGIC_1164.ALL;-- Uncomment the following library declaration if using-- arithmetic functions with Signed or Unsigned values--use IEEE.NUMERIC_STD.ALL;-- ...原创 2018-07-20 11:07:37 · 7908 阅读 · 0 评论 -
Hamming Code
General algorithm[edit]The following general algorithm generates a single-error correcting (SEC) code for any number of bits.Number the bits starting from 1: bit 1, 2, 3, 4, 5, 6, 7, etc. Write t...转载 2018-07-19 10:49:37 · 1094 阅读 · 0 评论 -
VHDL语法
pout : out bit_vector ----端口名称 方向 类型转载 2018-07-11 13:12:56 · 1099 阅读 · 0 评论 -
ISE使用
一.ISE实现的步骤 在综合之后,我们开始启动FPGA在ISE中的实现过程,整个过程包括以下几个步骤: 1.Translate - 将输入的网表文件和约束文件整合后输出到一个Xilinx私有的通用数据库 文件 ...转载 2018-07-13 13:30:08 · 5962 阅读 · 1 评论 -
Verilog模块语法
1、Verilog的基本设计单元是模块(block)。一个模块是有两部分组成的 ,一部分描述接口,另一部分描述逻辑功能,及定义输入时如何影响输出的。下图为模块结构的组成。模块特点Verilog HDL程序是由模块构成的。每个模块的内容都是嵌在module和endmodule两个语句之间。每个模块实现特定的功能。模块可以进行层次嵌套。每个模块要进行端口定义,并说明输入输出口,然后对模块的功...转载 2018-07-10 22:39:54 · 39652 阅读 · 5 评论 -
FPGA应用领域
FPGA简介 FPGA(Field Programmable Gate Array)于1985年由xilinx创始人之一Ross Freeman发明,虽然有其他公司宣称自己最先发明可编程逻辑器件PLD,但是真正意义上的第一颗FPGA芯片XC2064为xilinx所发明,这个时间差不多比摩尔老先生提出著名的摩尔定律晚20年左右,但是FPGA一经发明,后续的发展速度之快,超出大多数人的想象,近些年的...转载 2018-07-15 16:38:29 · 28029 阅读 · 1 评论