基于51片机的电子密码锁设计

一、系统基本功能

在科技发展的时代,电子密码锁是每个家庭都会使用的安全设备,了解电子密码锁的基本原理。本设计通过使用键盘扫描输入的密码,同时将扫描结果送入单片机。单片机可以根据输入的密码进行与用户设置的密码进行比对,并将输入的结果经过密码式处理后显示在显示屏上。

二、系统基本要求

1.系统能准确输入密码,并能进行处理后显示;
2.密码输入可以进行提示,密码错误后可以进行提醒;
3.电子密码锁具有保护措施。

三、功能实现

使用proteus对系统进行仿真设计如下,实现基本功能可以实现,其截图如下:
在这里插入图片描述
在这里插入图片描述

四、系统提高

系统完整性设计:
(1)系统能够设计提供机械开门的电机,能够仿真正反转;
(2)系统可以修改密码,保存密码等人性化功能;
(3)系统在非解锁时或开门一定时间后可以锁定,不许陌生人解锁;
(4)系统可以设定超级管理员,无限次数输入密码,其他人有限次输入密码;
(5)系统可以在家庭停电时做保护,设计蓄电池工作模式等。

五、部分代码

在这里插入图片描述

六、仿真全部资料下载

仿真资料下载

https://download.csdn.net/download/liujunping11/15506887

  • 0
    点赞
  • 11
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 2
    评论
基于VHDL设计用PGA实现一款简易电子密码锁QUARTUS工程源码+文档说明 library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_unsigned.all; use IEEE.std_logic_arith.all; entity time_counter is port( clk:in std_logic; --50M时钟输入 reset_n:in std_logic; --复位信号输入 password1_in:in std_logic_vector(3 downto 0); -- password2_in:in std_logic_vector(3 downto 0); -- password3_in:in std_logic_vector(3 downto 0); -- password4_in:in std_logic_vector(3 downto 0); -- ok_signal_counter_in:in std_logic_vector(2 downto 0); seg_duan:out std_logic_vector(7 downto 0); --数码管段信号输出 seg_wei:out std_logic_vector(7 downto 0) --数码管位信号输出 ); end time_counter; architecture time_counter_behave of time_counter is signal clk_1hz: std_logic; signal count: std_logic_vector(24 downto 0); signal clk_scan: std_logic; signal seg_select: std_logic_vector(2 downto 0); signal scan_count: std_logic_vector(13 downto 0); begin -- //**************************************************************************************************** -- // 模块名称:50M时钟分频至1HZ模块 -- // 功能描述: -- //**************************************************************************************************** process(clk,reset_n) begin if(reset_n = '0')then clk_1hz <= '0'; count <= "0000000000000000000000000"; elsif(clk'event and clk = '1')then--上升沿触发 if(count = "1011111010111100001000000")then-- count <= "0000000000000000000000000"; clk_1hz <= not clk_1hz; else count <= count + '1'; end if; end if; end process; -- //**************************************************************************************************** -- // 模块名称:数码管扫描时钟产生模块 -- // 功能描述: -- //************************************************************************************

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 2
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

网名不能想

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值