Quartus图文入门教程

2020年6月16号晚更新

1.新建文件夹led:prj放工程,rtl放代码(自己用的Gvim,rtl也可以不要了)

2.新建工程,保存在prj文件下

3.工程五步指引过后,新建Verilog HDL File,模块名为led(和顶层文件名必须一致),编写代码,保存在rtl文件夹下(***.v)

4.开始分析和综合,检测代码是否有错误

5.编写自动生成的仿真文件(生成的文件在prj/simulation/modelsim/****.vt)

6.仿真设置

上图的3:下图的1

上图的4:去掉上图3的_vlg_tst

上图的6:下图的2

7.开始仿真,前仿真

8.关闭仿真

9.开始后仿真

10.后仿真果然暴露出问题来了,嘿嘿

11.引脚分配

12.根据物理端口进行配置

13.烧录到开发板上

(有时间再搞下Gvim吧)

  • 33
    点赞
  • 137
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

Stmlker

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值