实验任务1 定点加法实验

实验要求使用Verilog语言,设计2个计数器 计数器1字长3比特,无符号数制,从0计数到7。 计数器2字长4比特,二补码数制,从-7 计数到7。(注意危险的1000,这是-8) 自行设计符号扩展规则,把两个计数器的输出信号进行字长匹配,然后相加 自行设定相加之后的字长。 验证两个计数值相加之后的正确性。 可以使用modelsim或是quartus的波形仿真或是signalT
摘要由CSDN通过智能技术生成

实验要求

使用Verilog语言,设计2个计数器
计数器1字长3比特,无符号数制,从0计数到7。
计数器2字长4比特,二补码数制,从-7 计数到7。(注意危险的1000,这是-8)
自行设计符号扩展规则,把两个计数器的输出信号进行字长匹配,然后相加
自行设定相加之后的字长。
验证两个计数值相加之后的正确性。
可以使用modelsim或是quartus的波形仿真或是signalTAP

  1. 计数器1字长3比特,无符号数制,从0计数到7。
module cnt_0to7(clk, rst_n, cnt_1);  

    input clk;
    input rst_n;
    output reg [2:0] cnt_1;

    parameter   T= 7;

always @ (posedge clk) 
begin
  if(!rst_n)
        cnt_1 <= 0;
  else if(cnt_1 == T)
        cnt_1 <= 0;
  else
        cnt_1 <= c
  • 0
    点赞
  • 5
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值