集成电路/微电子/电子科学与技术 在IEEE上可以参考的期刊会议总结

1.会议

IEEE International Solid-State Circuits Conference,简称:ISSCC,国际固态电路会议
(顶会,每年仅有200篇左右)

IEEE International Electron Devices Meeting,简称:IEDM,国际电子器件会议

IEEE Symposia on VLSI Technology and Circuits,简称:VLSI,超大规模集成电路研讨会

European Solid-State Circuit Conference,简称:ESSCIRC,欧洲固态电路会议

IEEE Asian Solid-State Circuits Conference,简称:A-SSCC,亚洲固态电路会议

Hot Chips: A Symposium on High Performance Chips,简称:Hot Chips,高性能芯片研讨会

IEEE International Symposium on Circuits and Systems,简称:ISCAS,电路系统研讨会

IEEE/ACM International Symposium on Microarchitecture,简称:MICRO,微架构

International Symposium on Computer Architecture,简称:ISCA,计算机体系架构

International Symposium on High-Performance Computer Architecture,简称:HPCA,高性能计算机架构

International Conference on Architectural Support for Programming Languages and OperatingSystems,简称:ASPLOS,编程语言和操作系统的体系结构支持国际会议

Design Automation Conference,简称:DAC,设计自动化会议

IEEE International Symposium on Power Semiconductor Devices and ICs,简称:ISPSD,功率器件、电路研讨会

IEEE Radio Frequency Integrated Circuits Symposium,简称:RFIC,射频集成电路研讨会

IEEE Custom Integrated Circuits Conference,简称:CICC,集成电路会议

IEEE International Conference on Computer-aided Design ,简称:ICCAD,计算机辅助设计国际会议

ACM/IEEE International Symposium on Low Power Electronics and Design,简称:ISLPED,低功耗电子设计研讨会

Design, Automation and Test in Europe Conference and Exhibition,简称:DATE,欧洲设计、自动化、测试会议

IEEE BiCMOS and Compound Semiconductor Integrated Circuits and Technology
Symposium (BCICTS)

IEEE Integrated Circuits, Technologies and Applications (ICTA)

IEEE International Conference on ASIC (ASICON)

IEEE Midwest Symp. Circuits and Systems (MWSCAS)

IEEE International Conf. on Electron Devices and Solid-State Circuits (EDSSC)

Proc. Int. Conf. on Solid-State and Integrated Circuit Technology (ICSICT)

Proc. IEEE International Wireless Symposium (IWS)

Proc. IEEE Int. Symp. Radio-Frequency Integration Technology (RFIT)

2.期刊

IEEE Journal of Solid-State Circuits ,简称:JSSC (顶刊)

IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems,简称:TCAD

IEEE Transactions on Circuits and Systems I,简称:TCAS-I (一区)

IEEE Transactions on Circuits and Systems II,简称:TCAS-II (一区)

IEEE Transactions on Very Large Scale Integration ,简称:TVLSI

ELECTRONICS LETTERS,简称:EL

IEEE Solid-State Circuits Letters (SSC-L)

IEEE Open Journal of the Solid-State Circuits Society (OJ-SSCS)
IEEE Journal on Exploratory Solid-State Computational Devices and Circuits (JxCDC)
IEEE Solid-State Circuits Magazine (SSC-M)
IEEE Radio Frequency Integrated Circuits Virtual Journal (RFIC-VJ)
IEEE Transactions on Semiconductor Manufacturing
Journal of Semiconductor Technology and Science (JSTS)
 

3.汇总:

电路设计的话, 会议: ISSCC > VLSIC > ESSCIRC/CICC/RFIC > ASSCC > ISCAS > 各种local CAS (APCCAS, MWSCAS等)

期刊:JSSC > SSC-L ~ TCAS-I ~ TPE ~ TBIOCAS > TCAS-II >TVLSI > EL …

4.EDA方向:

DAC(国际设计自动化会议,Design Automation Conference)、
ICCAD(国际计算机辅助设计会议,International Conference on Computer Aided Design)、
DATE(欧洲设计自动化与测试学术会议,Design, Automation and Test in Europe)
ASP-DAC(亚太地区设计自动化会议,Asia and South Pacific Design Automation Conference)
一起被公认为电子设计自动化领域水平最高的四大国际会议。
DAC和ICCAD会议固定在美国举办,DATE欧洲举办,ASP-DAC在亚太举办

本文是对如下链接的扩展补充 原意是自用总结【专业扫盲】集成电路领域的核心会议与期刊_senator参议员的博客-CSDN博客_集成电路期刊排名

  • 3
    点赞
  • 30
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
IEEE期刊参考文献生成是根据IEEE(电气与电子工程师协会)期刊的规定和要求,在写作学术论文时根据引用的来源自动生成规范的参考文献列表。 首先,在写作论文时,需要引用他人的研究成果或观点来支持自己的观点或结论。为了保证引用的准确性和规范性,在每次引用他人的研究成果时,需要提供完整的参考文献信息,以便读者可以追溯到原始来源。 在IEEE期刊中,参考文献的格式遵循一定的规范,一般由以下几部分组成:作者姓名、文章标题、期刊名称、卷号、期号、页面范围、出版日期等。根据不同类型的参考文献,可能还需要提供作者所属的机构信息、书籍出版商等。 为了方便作者生成规范的参考文献列表,IEEE提供了一些工具和软件,如EndNote、Mendeley等。通过这些工具,作者可以直接输入引用的信息,选择合适的引用样式(如IEEE样式),即可自动生成符合期刊要求的参考文献列表。 在使用这些工具时,需要注意输入的信息是否准确和完整,特别是作者的姓名、文章标题、期刊名称等核心信息,以保证生成的参考文献列表的准确性。 总而言之,IEEE期刊参考文献生成是一项帮助作者根据期刊规定自动生成规范的参考文献列表的工作。通过使用相应的工具和软件,作者可以简化论文写作过程中引用部分的工作量,并确保参考文献的准确性和规范性。

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值