在 CentOS7 下安装 Cadence IC验证平台 INCISIVE152

在 CentOS7 下安装 Cadence IC验证平台 INCISIVE152

前言

本文所使用的软件

虚拟机软件:VMware Workstation 15 PRO

操作系统:CentOS-7, CentOS-7-x86_64-DVD-1810.iso

简介

INCISIVE

Incisive是nc_verilog, nc_sim, nc_lauch,以及ABV,TBV的集合,用于仿真和验证。

InstallScape

InstallScape是cadence软件的图形安装界面,本文将使用InstallScape安装INCISIVE152。

准备工作

下载

Incisive152

链接:https://pan.baidu.com/s/1sdCO3-nq2OPkx1Cuvd4IRg 提取码:sjvm

INCISIV152 内的文件, 共13个

Patch: https://pan.baidu.com/s/1U3HeBXCk8PC4SBBnEIkYhw

license: https://pan.baidu.com/s/130uwzc9TJzXphUvOgBQzsw

把下载的文件传入虚拟机

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

郭亚望

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值