UVM方法学之工厂机制

工厂 (factory)机制

UVM验证环境构成分为两部分,一部分构成环境的层次,这一部分通过uvm_component类完成,另一部分构成环境属性(例如配置)和数据传输,这一部分通过uvm_object类完成
 1. 工厂的意义
 UVM工厂的存在就是为了更方便的替换验证环境中的实例或者已经注册的类型,同时工厂的注册机制带来配置的灵活性。这里的实例或类型替代在UVM中称为覆盖(override),用来替换的对象或类型应满足注册(registration)和多态(polymorphism)的要求。
 2. 实现方式
     (1)将类注册到Factory表中。
     (2)创建对象,使用对应的语句(type_id::create)
     (3)编写相应的类对基类进行覆盖
  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值