UVM——工厂机制,覆盖方法

工厂的意义:为了更方便的替换验证环境中的实例或已注册的类型,使注册机制更加灵活。

UVM验证环境的两大类:uvm_component,uvm_object。                                                   

从关系上来看,uvm_component 继承于 uvm_report_objec t继承于 uvm_object。uvm_component实现了验证环境的层次化,uvm_object实现了环境的配置和数据的传输。

uvm_component是静态的组件类,对应sv中的generator,stimulator,monitor,agent,checker,refmod,env,test等

uvm_object是动态的验证场景,对应sv中的transaction,从generator流向stimulator的数据包。

---------------------------------------------------------------------------------------------------------------------------------

工厂类的三个步骤:定义、注册、创建

class comp1 extends uvm_component;         //定义comp类
    'uvm_component_utils(comp1)            //注册
    function new(string name = "comp1", uvm_component parent = null);       //创建
        super.new(name, parent);
        $display($sformatf("%s is cr
  • 1
    点赞
  • 19
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值