【UVM】【UVM Class Reference Manual 1.2】uvm analysis port

Analysis port

对于多个组件对同一个数据进行运算处理,采用analysis_port.

从一个initiator到多个target端的连接方式。

在initiator端调用write() 函数时,实际上是通过循环的方式将所有连接的target端内置的write()函数进行了调用。函数具有立即返回的特点,无论连接了多少个target端,initiator端调用write()总是可以立即返回。 与单一端口函数调用不同,即使没有target与之相连,调用write()函数时不会发生错误?

analysis port 包含: uvm_analysis_port ( broadcasts transaction to imp), uvm_analysis_imp ( receives transaction by port ), uvm_analysis_export (export to imp).


uvm_analysis_port   (initiator)

    实现对所有订阅者(uvm_analysis_imp)广播一个值 :T

本质为参数化的类:

class uvm_analysis_port # (
type T = int
) extends uvm_port_base # (uvm_tlm_if_base #(T,T))

其包含一个function: write 

// function write: Send specified value to all connected interface

 function void write ( 
     input T t
 )    
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值