EDA技术实用教程 | 复习五 | 端口模式

端口模式

Verilog的端口模式有如下三种,用于定义端口上数据的流动方向和方式。

(1)input:输入端口

定义的通道为单向只读模式,即规定数据只能通过此端口被读入模块实体中。

(2)output:输出端口

定义的通道为单向输出模式,即规定数据只通过此端口从模块实体向外流出,或者说可以将模块中的数据向此端口赋值。

(3)inout:双向端口

定义的通道确定为输入输出双向端口,即从端口的内部看,可以对此端口进行赋值,或通过此端口读入外部的数据信息;而从端口的外部看,信号可由此端口流出,也可向此端口输入信号,如RAM的数据口、单片机的I\O口等。

  • 1
    点赞
  • 3
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值