EDA技术实用教程 | 复习四 | 标识符、关键字和规范的书写格式

一、标识符

标识符( identifier)用于定义模块名、端口名、信号名。

定义格式:字母、数字、$符号和_(下划线)符号的组合以及标识符的第一个字符必须是字母或者下划线。

此外,标识符是区分大小写的,即对大小写敏感。

例如:
Count
COUNT     //与Count不同
R56_68
FIVE$

二、关键字

关键字(key word)是指Verilog语言预先定义好的特殊含义的英文词语。比如input、output、module、assign等都是关键字。
对于关键字,Verilog规定所有的关键字必须是小写,如INPUT、MODULE都不是关键字。

下面是使用频率比较高的关键字:

module  for while  wire reg input output 
inout  case if always begin end default 
endcase posedge negedge endmodule

三、规范的书写格式

1、用有意义的有效的名字如 Sum 、CPU_addr等。
2、用下划线区分词。
3、采用一些前缀或后缀,如
时钟采用clk 前缀:clk_50,clk_CPU;
低电平采用_n 后缀:enable_n;
4、统一一定的缩写如全局复位信号 rst。
5、同一信号在不同层次保持一致性,如同一时钟信号必须在各模块保持一致。
6、自定义的标识符不能与保留字同名。
7、参数采用大写,如SIZE 。
8、一个语句一行。
9、采用空四格的table键进行缩进。

  • 1
    点赞
  • 6
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值