2021-08-14

流水灯4个

verilog

module led(
	input wire clk,
	input wire rst_n,
	
	output reg [3:0] led
	
	);
	
	parameter t = 50_000_000;
	
	wire [27:0] cnt;
	
	always @ (posedge clk,negedge rst_n)
	begin
		if(rst_n == 1'b0)
		  cnt <= 28'd0;
		else if(cnt == 4*t - 1)
		  cnt <= 28'd0;
		else
		  cnt <= cnt + 1'b1;
	end
	
	always @ (posedge clk,negedge rst_n)
	begin
	  if(rst_n == 1'b0)
	    flag <= 1'b0;
	  else if(cnt == 4*t - 1)
		flag <= 1'b1;
	  else
	    flag <= 1'b0;
	end
	
	always @ (posedge clk,negedge rst_n)
	begin
		if (rst == 1'b0)
		  led <= 4'b0001;
		else if (cnt <= t - 1)
		  led <= 4'b0001;
		else if (cnt <= 2 * t - 1)
		  led <= 4'b0010;
		else if (cnt <= 3 * t - 1)
		  led <= 4'b0100;
		else 
		  led <= 4'b1000;
	end
		
		
		
		
endmodule
		   
	

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值