FPGA新起点V1开发板(五)——Modelsim软件的使用(联合仿真)

一、简介

在这里插入图片描述

1.1 前仿真

在这里插入图片描述
主要是用于验证代码的功能是否正确,不考虑电路的延迟

1.2 后仿真

在这里插入图片描述

二、联合仿真

2.1 选择modesim的安装路径

在这里插入图片描述
在这里插入图片描述

2.2 设置选择eda的工具

在这里插入图片描述
已经关联好

2.3 test bench文件

为顶层文件提供激励,如系统时钟和复位信号,quartes里面有模板
在这里插入图片描述

2.4 打开test bench文件

根据下面的路径
在这里插入图片描述
通过fileopen

在这里插入图片描述

在这里插入图片描述

2.5 给系统时钟和复位信号赋初值

timescale 1 ps/ 1 ps,前面是仿真的单位后面是仿真的精度,修改成ns

initial                                                
begin                                                  
    sys_clk = 1'b0;  //将系统时钟赋为0
    sys_rst_n = 1'b0; //将复位信号赋为0
    #100 sys_rst_n = 1'b1; //延时100ns后,复位信号拉高
    #1000 $stop;//增加停止条件,运行1微秒后停止
end
//产生时钟的翻转信号,系统时钟是50MHz,则周期是20ns,则每延时10ns系统时钟翻转一次                                                    
always #10 sys_clk = ~sys_clk;                                           
    
endmodule

在这里插入图片描述

2.6 配置仿真功能

在这里插入图片描述
复制test bench的文件名
在这里插入图片描述
在这里插入图片描述

三、RTL仿真

在这里插入图片描述
RTL功能仿真
在这里插入图片描述

3.1 打开波形窗口

在这里插入图片描述
在这里插入图片描述
在这里插入图片描述

在这里插入图片描述
点击最下面,可隐藏路径

在这里插入图片描述
右边是几进制显示,这里选择二进制
在这里插入图片描述

在这里插入图片描述

3.2添加内部信号

在这里插入图片描述

在这里插入图片描述

在这里插入图片描述
可以看出count是11次

四、时序仿真

需要编译
在这里插入图片描述
在这里插入图片描述
在这里插入图片描述
直接run
在这里插入图片描述
有一点误差

  • 0
    点赞
  • 3
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

周末不下雨

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值