matlab和modelsim联合仿真

Matlab与Modelsim联合仿真

    Matlab中可以使用simulink进行仿真,为了便于对实际工程进行仿真,可以将veriLog代码转化为simuLink中的仿真模块,在simulink中添加信号源等进行和Modelsim的联合仿真。

使用的matlab版本:MatlabR2023a

使用的Modelsim版本:ModelsimSE.2020.4

仿真步骤如下:

1. 打开matlab,修改当前路径,将路径修改为你想要存放联合仿真文件的地方。这里我是新建了一个文档test1用于存放联合仿真的相关文件,注意路径中不要出现中文。

2. 在matlab命令行中输入 cosimWizard

输入命令后,会出现如下的设置向导界面。

3. Cosimulation Wizard设置

    因为是进行modelsim和simulink的仿真,因此在HDL Simulator处设置成Modelsim。在HDL cosimulation处设置成simulink。

    第一个选项是在系统路径上使用硬件描述语言模拟器可执行文件,第二个选项是在以下位置使用hdl模拟器可执行文件。这里选择第一个。点击Next。

4. HDL Files

    进入HDL Files设置界面,界面如下:

点击右边的ADD添加verilog文件。

    这里我添加了两个.v文件,一个是COXXXX,另一个是COXXXX_unit。在两个文件中COXXXX是顶层文件,会调用COXXXX_unit模块。因此在这里添加的时候应该先添加COXXXX_unit文件,再添加COXXXX文件。如果顺序反的话,则编译COXXXX的时候,会显示找不到COXXXX_unit模块。所以添加的时候被调用的文件要先添加。

   

添加完成后的界面如下,然后点击Next。

5.HDL Compilation

    出现如下界面,无需进行配置,直接点击Next。

然后matlab命令行中会显示编译信息:

6.simulation Option

    Name of HDL module to cosimulate with即要与其协同模拟的硬件描述语言模块的名称,这里点击右边的倒三角选项,会出现之前加入的两个文件名称。

选择顶层文件COXXXX。

Simulation options为仿真选项,不用修改。

Connection method为连接方式,选择share Memory共享内存模式。

    然后会出现cmd执行窗口,运行速度很快。等他运行完后会自动关闭,若没有自动关闭,则直接手动关闭就可。

     同时matlab命令行中出现警告。

    这个警告是modelsim版本为2020.4,不支持改版本的HDL 仿真器。应该是Matlab和modelsim的版本并不匹配,但实际对使用没有影响,因此继续下一步。

7.Input/output Prts

    进入输入、输出等端口的配置界面,matlab会将verilog代码中的输入、输出端口都显示出来,比较特殊的是时钟端口和复位端口。时钟端口的Port Type可以配置成clock或者input。若配置成clock,则生成的仿真模块不包含该引脚,但是会依据设置的情况内部直接给定激励。若配置成Input,则会在最后生成的hdl模块上显示出时钟端口,这时需要自己向端口中输入始终信号。复位信号同样如此,将其设置成Reset后,则会在内部自动生成复位信号。

    此处只需要考虑时钟信号和复位信号需不需要修改Port Type,其他的input引脚和output引脚默认即可,点击Next。

8.Output Port Details

    对输出端口的采样时间进行设置,由于上一步的时钟信号选择了clock,即系统自动生成,因此这里sample Time全部修改为-1,系统自动匹配时钟信号。若上一步时钟信号选择了Input,则这里的Sample Time就需要自行修改成自己所需的采样频率。

    滚轮下滑,点击右下角的Next,若没有Next,只看到了Back,那就是窗口太小了,没显示出来next。放大窗口即可。

9.Clock/Reset Details

    在第7步中,将时钟信号设置成clock,将复位信号设置成了Reset,因此这里需要对他们进行设置,这里系统才能自动帮你生成信号。

    这里在Period中将时钟值修改成自己需要的时钟,并且修改上升沿和下降沿。在Reset中修改初始电平是高电平还是低电平,以及多少ns后电平翻转。这里我的复位信号是低电平有效,因此我初始化为低电平。200则是,在200ns后,复位信号变为高电平,然后就一直为高电平。设置完后点击Next。

10.Start Time Aligment

配置无误的话,会生成时钟和复位信号的波形图,如下,然后点击Next。

11.Block Generation

这里默认即可,点击Finish,完成向导设置。

12.运行仿真

    配置完成后,会出现如下三个东西,最左边是Modelsim simulator模块,是根据添加的verilog代码生成的。右上角Compile HDL Design为编译按钮,双击进行编译。右下角Launch HDL Simulator为启动按钮,双击启动Modelsim。

   将该simulink工程进行保存,对输入、输出端口进行连接。

    第一次运行联合仿真先双击右上角的按钮,进行编译,进行过一次后,后面就不需要再双击了。然后双击右下角的启动按钮,启动Modelsim。Modelsim启动后,再在simulink中点击运行按键。如果不启动modelsim,直接运行simulink,则simulink会报错,显示找不到服务器。

    matlab和modelsim的联合仿真是查阅了网上相关资料,通过自己尝试,发现能成功进行联合仿真,因此将仿真步骤分享出来,希望能给读者带来一点帮助。

  • 16
    点赞
  • 21
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值