1.逻辑元件图
微型计算机的总线驱动中常常用到单线缓冲器,它由多个三态门组成
2.VHDL语言
library ieee;
use ieee.std_logic_1164.all;
entity trl_buf8 is
port(en : in std_logic;
din : in std_logic_vector(7 downto 0);
dout : out std_logic_vector(7 downto 0));
end trl_buf8;
architecture behave of trl_buf8 is
begin
process(en)
begin
if en = '1' then dout <= din;
else dout <= "ZZZZZZZZ";
end if;
end process;
end behave;