2021-06-04

一、实验目的
运用Quartus软件与Modelsim联合仿真
二、实验内容运用Quartus软件与Modelsim软件尝试建立一个门级模型
三、实验原理按照视频上的内容,书写和运行代码,完成实验和仿真
四、实验工具电脑、Quartus软件与Modelsim软件
五、实验过程截屏及代码

module decoder3x8(din,en,dout,ex);
input[2:0]din;
input en;
output[7:0]dout;
output ex;
reg[7:0]dout;
reg ex;
always @(din or en)
if(en)
begin
dout=8'b1111_1111;
ex=1'b1;
end
else
begin
case(din)
3'b000:begin
dout=8'b1111_1110;
ex=1'b0;
end
3'b001:begin
dout=8'b1111_1110;
ex=1'b0;
end
3'b010:begin
dout=8'b1111_1011;
ex=1'b0;
end
3'b011:begin
dout=8'b1111_0111;
ex=1'b0;
end
3'b100:begin
dout=8'b1110_1111;
ex=1'b0;
end
3'b101:begin
dout=8'b1101_1111;
ex=1'b0;
end
3'b110:begin
dout=8'b1011_1111;
ex=1'b0;
end
3'b111:begin
dout=8'b0111_1111;
ex=1'b0;
end
default:begin
dout=8'b1111_1111;
ex=1'b0;
end
endcase
end
endmodule


module tbdecoder;
reg[2:0]din;
reg en;
wire[7:0]dout;
wire ex;
initial
begin
#10 en=0;din=3'b000;
#10 en=0;din=3'b001;
#10 en=0;din=3'b010;
#10 en=0;din=3'b011;
#10 en=0;din=3'b100;
#10 en=0;din=3'b101;
#10 en=0;din=3'b110;
#10 en=0;din=3'b111;
#10 en=0;din=3'b1x1;
#10 en=1;din=3'b000;
#10 en=1;din=3'b001;
#10 en=1;din=3'b010;
#10 en=1;din=3'b100;
#10 en=1;din=3'b101;
#10 en=1;din=3'b110;
#10 en=1;din=3'b111;
#10 $stop;
end
decoder3xB idecoder(din,en,dout,ex);
endmodule
 

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值