八选一数据选择器

library ieee;
use ieee.std_logic_1164.all;

entity SH02 is
port(a:in std_logic_vector(7 downto 0);
     s:in std_logic_vector(2 downto 0);
	  y:out std_logic
     );
end SH02;

architecture a1 of SH02 is  
signal sel:integer ;
begin  
      with sel select  
        y <= a(0) when 0,  
                  a(1) when 1,  
                  a(2) when 2,  
                  a(3) when 3,  
                  a(4) when 4,  
                  a(5) when 5,  
                  a(6) when 6,  
                  a(7) when 7,  
                  'X' when others;  
          sel<=0 when s(0)='0' and s(1)='0' and s(2)='0' else
	          1 when s(0)='0' and s(1)='0' and s(2)='1' else
				2 when s(0)='0' and s(1)='1' and s(2)='0' else
				3 when s(0)='0' and s(1)='1' and s(2)='1' else
			   4 when s(0)='1' and s(1)='0' and s(2)='0' else
				5 when s(0)='1' and s(1)='0' and s(2)='1' else
				6 when s(0)='1' and s(1)='1' and s(2)='0' else
				7 when s(0)='1' and s(1)='1' and s(2)='1' else
				8;
end a1;
  • 17
    点赞
  • 5
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值