四路病房呼叫系统

一、设计目的作用

1、掌握病房呼叫系统电路的设计、组装与调试方法。

2、熟悉数字集成电路的设计和使用方法。

二、设计要求

1、某医院有1,2,3,4号病室,每个房间装有呼叫按钮,病人通过按动自己的床位按钮开关向护士值班室发出呼叫信号。

2、护士值班室有相应的显示电路:每个病房对应有一个指示灯,共用一个显示器,一旦有病人呼叫,显示器显示呼叫病人的床位编号,相应病房的指示灯闪烁,同时扬声器发出声响提示值班人员。

3、1号病室的呼叫优先级别最高,其次是2号病室,4号病室最低。

4、用一个数码管显示呼叫病房的号码,没呼叫信号时显示为0,有多个呼叫信号时,显示优先级别最高的呼叫号。

三、设计的具体实现

1、系统概述

(1)呼叫功能:四个病房每个病房都装有⼀个呼叫按钮,当病房有需要时,可以通过呼叫按钮进⾏呼叫

(2)显⽰功能:在护⼠值班室内有相应的显⽰电路,可以看到是哪个病室在呼叫

(3)优先权:四个病房呼叫具有优先权,其中一号病房优先权最⾼,四号病房优先权最低。只要有一号病房呼叫时,不管其他四个病房是否呼叫,显⽰电路均显⽰一号病房;一号病室不呼叫时,只要有二号病室呼叫,不管三号、四号是否呼叫,显⽰电路均显⽰二号病房依次类推。

(4)蜂鸣器:当病房有呼叫时,蜂鸣器将发出声响,护⼠听到声响能够知道由病房在呼叫

课程设计采⽤了74LS148D,74LS47D,555定时器构成的多谐振荡器及各种门电路。通过开关1,2,3,4,的开闭点亮指⽰灯并给优先编码器74LS148D送码,优先编码器74LS148D将其编码后再传送给译码器74LS47D,74LS47D将其翻译出来,再送给数码管,同时,优先编码器74LS148D给555定时器构成的多谐振荡器送信号,让蜂鸣器⼯作。

2、 单元电路设计与分析

(1)病房呼叫信号:

        四个单刀双掷开关,双掷端分别连接5V电源与地端,因为是低电平有效,所以开关默认接至电源,如下图3-1所示。

 图3-1 病房呼救信号输入模块

 

(2)四个指示灯:

        四个LED灯分别表示相应病房,四个保护电阻可防止LED烧毁,LED的负极接至信号输入端,因为默认为高电平所以LED在开关未闭合时处于熄灭状态,在开关拨至接地端时处于亮起状态,如下图3-2所示。

3-2 病房指示灯模块

(3)病房优先排序模块:

        利用优先编码器74ls148可以实现对病房的优先排序,根据其真值表可以知道,D7的优先级最高,输入低电平时结果为0,因为要求病房无人按下开关时数码管显示为0,即输入全为高电平时D7收到的为低电平信号,所以可以利用与非门实现其逻辑功能,本实验利用74LS20D连接各个病房信号,再将其结果接至74LS148的D7端,来检查是否有人按下病房呼叫开关。然后再按优先级将病房1至4的信号接至优先编码器的D6至D3端,因为D2至D0端优先级最低,且只有4个病房,所以可以直接悬空。最后为74LS148与74LS20连接电源端与地端,如下图3-3所示。

 

3-3病房优先排序模块 

(4)译码显示模块:

        根据74LS48的真值表对照74LS148的真值表可知,优先编码器的A0至A2端可直连至译码器的A至C端,D端接地置零即可。因为是低电平有效,所以,,三端接电源即可。本实验提供了共阴极数码管,所以数码管的CK端接地。译码器的OA至OG端分别接至数码管的A至G端,为了防止数码管烧毁,连接时还要为其添加36欧的保护电阻,如下图4-1所示。 

4-1 病房号显示模块

(5)警报器与警报灯模块:

        利用EN555定时器,按其功能表与引脚图制作单稳态触发器,因为555定时器为低电平触发,为了实现病房无人呼救时警报不触发,有人呼救时警报启动并持续一段时间的目的。病房本实验利用第一次与非的结果与3个高电平与非,从而实现有人呼救时555定时器收到低电平信号。再在555定时器的输出端连接LED灯与蜂鸣器作为警报灯与警报器,为了防止LED灯与555定时器烧毁,所以在LED灯的前端接入360欧的保护电阻,因为接入电阻后会导致电路的电压降低,所以利用三极管制作放大器,放大后再接入蜂鸣器,如下图5-1所示。

5-1 病房呼救报警模

(6)实物图

 

图6-1 电路实物图

(7)仿真显示

图7-1 一路仿真显示图

图7-2 二路仿真显示图

图7-3 三路仿真显示图

图7-4 四路仿真显示图

 

图7-5 优先显示仿真图

四、总结

通过本次实验,完成了四路病房呼救系统的电路仿真和实物设计,但由于排版问题导致期间出现了各种不利于焊接的问题,调整过后导致时间不够引起的警报器与警报灯模块还未焊接完毕。

此次试验更加熟悉Multisim 7仿真软件的使用,也了解了蜂鸣器,芯片等元件的使用方法,也知道了仿真电路与实际电路中有着非常多不同。

五、附录

元件名称

型号

数量

备注

优先编码器

74LS148

1

双列直插

双四输入与非门

74LS20

1

双列直插

定时器

NE555

1

双列直插

译码器

74LS48

双列直插

1位数码管

0.56英寸共阴

1

红光

发光二极管

5mm

5

红光

自锁微型按钮

6脚

4

三极管

9011

1

NPN型

电阻

360欧

12

1/4W碳膜电阻±1%

电阻

1.5K

2

1/4W碳膜电阻±1%

无源蜂鸣器

交流5V

1

电容

1UF、0.01UF

各1

瓷片电容

集成块插座

16、14、8脚

各1

(16脚2,14脚、8脚各1)

面包板

150*200

1

两连孔(单面绿油)

  • 36
    点赞
  • 289
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 19
    评论
FPGA病房呼叫系统是一种基于可编程逻辑门阵列(FPGA)的医疗设备。该系统旨在提高病房内患者和医护人员之间的沟通效率,以及加强护理的监督。 该系统通过将患者床头或手持设备与护理站的主控台连接起来,实现患者呼叫和医护人员响应的快速和准确。患者可以使用床头或手持设备上的按钮,通过无线信号将需要医护人员的帮助或支持的信息发送给主控台。 FPGA病房呼叫系统的主要特点和优势有: 1. 实时通信:患者使用该系统呼叫后,主控台能立即接收到信号,并显示在监护室控制屏或监护室设备上,医护人员能够及时做出响应。 2. 多重呼叫:该系统可以同时接收多个患者的呼叫请求,并按照优先级对请求进行排序。这有助于医护人员根据需求的紧急程度来确定哪个患者需要最先处理。 3. 位置识别:FPGA病房呼叫系统还可通过患者床头或手持设备的信号,准确识别患者所在的位置。这对于医护人员能够迅速找到患者,并提供及时的帮助非常重要。 4. 数据记录和分析:该系统能够记录患者的呼叫信息和医护人员的响应时间。这对于医院管理部门评估服务质量、改进工作流程和提高医疗护理水平非常有价值。 总之,FPGA病房呼叫系统能够提高医院病房内患者和医护人员之间的沟通和呼叫效率,减少响应时间,提供更好的医疗护理服务。这将提升患者的满意度,同时也为医护人员提供更好的工作环境和工作效率。

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 19
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

一路喝狗狗

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值