数字电路之RS触发器(与非门)

本文介绍了使用与非门构成的基本RS触发器的工作原理,包括其电路结构、真值表和特性。接着讨论了同步RS触发器,强调了同步输入端R和S受时钟CP控制的特点,以及在Verilog-HDL中的描述方法,并提供了相应的仿真波形示例。
摘要由CSDN通过智能技术生成

本文相关图片转载自数电53-与非门构成的基本RS触发器_哔哩哔哩_bilibili

RS触发器电路结构: 

RS触发器由两个二输入与非门组成,在实验室中可以使用74LS00搭建

S端是置1端,R端是置0端(这很重要),后面真值表会有分析

真值表

与非门input有0,则output为1

为0,为1,则输出Q为0

———————————————————分割线—————————————————————

 

 为1,为0,则输出Q为1

 ———————————————————分割线————————————————————

 

   为1,为1,保持上一个状态不变

———————————————————分割线————————————————————

 

 两输入端不能同时为0

特性表

 

 

 同步RS触发器的特性表

上面介绍完了基本RS触发器,就让我们再看一下同步RS触发器

 

1、

R、S称之为同步输入端,这是因为R、S端的输入信号能否进入触发器而被接收,是是受时钟CP同步控制的

2、

,也被称为直接置位和复位端,当,触发器被置位到1状态;

,触发器被复位到0状态,与Clock Pluse无关

3、

异步输入端是用来预置触发器的初始状态,或者在工作中强行置位和复位触发器触发器,

不工作时,

———————————————————分割线————————————————————

 同步RS触发器的Verilog-HDL描述

module SY_SR_FF(
	input clk,	
	input R,
	input S,
	output Q,
	output QB
	);
reg Q;
assign QB=~Q;

always @(posedge clk)begin
	case({R,S})
		2'b01:Q<=1;
		2'b10:Q<=0;
		2'b11:Q<=1'bx;
	endcase
end

endmodule

tb:

`timescale 1ns/1ns
module tb();

reg R,S,clk;

wire Q,QB;

initial  
    clk = 0;  
	always #10 clk = ~clk;

SY_SR_FF UU(
        .clk(clk),
        .R(R),
	    .S(S),
	    .Q(Q),
	    .QB(QB));

initial begin
	    R=0;S=1;
	    #50;
	    R=1;S=0;
	    #50;
	    R=0;S=1;
	    #60;
	    R=1;S=0;
	    #100;
	    R=1;S=1;
    	#50;
    	R=0;S=1;
    	#50;
	    R=1;S=0;
        #80;
        R=1;S=0;
        #80;
        R=0;S=1;
        #100;
        R=0;S=0;
        #300;

	$display("sim end!!!");
	$finish;
end

endmodule

VCS仿真波形:

  • 21
    点赞
  • 142
    收藏
    觉得还不错? 一键收藏
  • 1
    评论
在Multisim中,我们可以使用基本RS触发器和非门进行逻辑电路的建模和仿真。基本RS触发器是一种常用的数字电路元件,由两个交叉连接的非门构成,其中一个非门的输出连接到另一个非门的输入,称为Set(S)输入,另一个非门的输出连接到第一个非门的输入,称为Reset(R)输入。 当S和R的输入为低电平(0)时,触发器保持其当前的状态。当S和R的输入为高电平(1)时,触发器将根据上一个输出状态和输入信号的变化来改变其状态。但如果S和R同时为高电平,那么触发器将处于不定态。 在Multisim中,我们可以使用数字电路模块库中的基本器件来建立逻辑电路。可以将基本的RS触发器和非门组合在一起,然后使用逻辑开关或者信号发生器来控制S和R的输入。通过点击仿真按钮,我们就可以模拟整个电路的工作过程。 当输入S和R同时保持为低电平时,触发器的输出将保持不变。但是,当输入的S和R同时变为高电平时,触发器就会进入不定态,输出将无法确定。这个不定态在Multisim的仿真结果中可能被标记为X(未知)状态。 通过观察和分析仿真结果,我们可以验证基本RS触发器和非门存在不定态的特性。同时,我们还可以通过改变输入信号和逻辑电路的连接方式来进一步探索和研究这种不定态的行为,在数字电路设计和逻辑电路故障排除等方面发挥重要作用。
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值