3-8译码器和8-3编码器VHDL

  • 实验内容

1) 熟悉quartusll开发环境

2) 3-8译码器和8-3编码器

3) 用VHDL实现

二、实验目的

1) 熟悉quartusll开发环境

2) 3-8译码器和8-3编码器

3) 用VHDL实现

三、软件流程(硬件连接)

①新建工程

②将设计项目设置成可调用的元件

③编写代码,检查错误并改正

④尝试运行代码

⑤连接对应芯片的引脚

⑥将电脑与硬件连接

⑦在硬件上运行检验

四、代码 

1)3-8译码器

2)8-3编码器

LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;                        --实体说明

ENTITY basan IS
PORT( IN1: IN STD_LOGIC_VECTOR(7 DOWNTO 0);         --输入端
	 OUT1: OUT STD_LOGIC_VECTOR(2 DOWNTO 0));       --输出端
END;

ARCHITECTURE ONE OF basan IS                        --结构体
BEGIN
PROCESS(IN1)                                        --顺序执行
BEGIN

	if IN1(7)='1' THEN OUT1<="111";
	ELSIF IN1(6)='1' THEN OUT1<="110";
	ELSIF IN1(5)='1' THEN OUT1<="101";
	ELSIF IN1(4)='1' THEN OUT1<="100";
	ELSIF IN1(3)='1' THEN OUT1<="011";
	ELSIF IN1(2)='1' THEN OUT1<="010";
	ELSIF IN1(1)='1' THEN OUT1<="001";
	ELSIF IN1(0)='1' THEN OUT1<="000";
	ELSE OUT1<="XXX";
END IF;
END PROCESS;
end;

五、实验结果及分析

1)3-8译码器

 2)8-3编码器

 

  • 0
    点赞
  • 10
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值