38译码器的VHDL语言实现

38译码器的VHDL语言实现

library ieee;
use ieee.std_logic_1164.all;
entity vhdl38 is
port
(
	a:in std_logic_vector(2 downto 0);
	s1,s2,s3:in std_logic;
	y:out std_logic_vector(7 downto 0)
);
end;

architecture one of vhdl38 is
begin
process(s1,s2,s3,a)
begin
	if(s1='0') then
		y<="11111111";
	elsif(s2='1' or s3='1') then
		y<="11111111";
	else
		case a is
			when "000" => y<="11111110";
			when "001" => y<="11111101";
			when "010" => y<="11111011";
			when "011" => y<="11110111";
			when "100" => y<="11101111";
			when "101" => y<="11011111";
			when "110" => y<="10111111";
			when "111" => y<="01111111";	 
		end case;
	end if;
	end process;
	end;
  • 1
    点赞
  • 7
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值