自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(7)
  • 资源 (9)
  • 收藏
  • 关注

原创 移除时钟/阻止时钟传播的几个思路

三种处理方法产生影响存在区别,第一种和第二种类似,阻止clkB时钟信号通过mux,传播到clkO,但是clkB仍然存在。第三种remove_clock,移除clkB的时钟属性,其所在的clock port/pin不再具有时钟属性,clkO也不能接收到clkBx信号。1.如果clk在mux输出端,可以将case值(clk_en)设为0。例:如下图的clk mux,阻止clkB。1.case值设为0。

2024-06-18 16:00:07 330

原创 generated clocks whose master pins are driven by multiple clocks

选择一个外边时钟作为phy/pma/clk的master clock,指定phy/pma/clk作为phy/pma/Clko的master clock。原因:phy的lib库中存在的generate clock(Clko),其时钟信号来自于pma内的maseter pin(phy/pma/clk),但是外部定义了三个时钟源(Clk1,Clk2,Clk3)同时经过master pin传到内部的pma clk(Clko),因此工具会检查出pma clk被多个时钟驱动的情况。

2024-05-21 10:14:32 516

原创 Expanding clock 与时钟关系设置

如果部分不同频时钟之间是异步关系,但是没有设异步的时候,pt工具会默认为同步关系,check_timing出现上述报告。时钟之间存在交互路径,需要做时序检查,工具会把周期扩展到所有时钟周期的最小公倍数,这个最小公倍数作为common period,称为base period。将两组时钟设为逻辑互斥关系;存在两组时钟为异步关系,但不希望layout时之间距离太远,可以使用 set_max_delay进行约束。

2024-05-17 14:21:53 354

原创 逻辑综合改善时序的几个思路

或:禁用HVT、RVT的cell,例:set_dont_use [get_lib_cells scc40nef_hdc40_hvt_ffg_v1p21_-40c_ccs/*]3.分析时序报告,对部分延时较大的cell禁用,set_dont_use [get_lib_cell cell_name]例:使用 set_multi_vth_constraint command指定使用lvt cell占所有cell的比例。2.使用set_critical_range command提高工具对设计的整体优化程度。

2024-05-11 11:16:57 269 1

原创 WGL与STIL解析

总结:WGL指定扫描链的状态,向量序列位数不与扫描链单元数一致,通过多个循环的操作, 使用scan vector将扫描链单元转化成指定的scanstate,不是直接将scanstate shift in扫描链(或者说需要先根据scanstate转化成需要的向量,再执行shift in操作)。O表示输出,I表示输入。定义了名字为gen_tp1的timeplate,周期为40ns,调用这个timeplate时,channel ijtag为输入端口,在0ns状态为D,20ns状态为S,40ns状态为D。

2024-03-28 10:53:58 2088

原创 set_clock_restriction命令在AC scan pattern中的功能解析

如图,可以看到在lauch clock中只有两种组合(clk11,clk12)和(clk21,clk22),capture clock也是如此,也就是不兼容的时钟不能同时出现在lauch clock中,也不能同时出现在capture clock中。但是lauch clock与capture clock可以不兼容。在生成pattern时,仅根据pattern的时钟需要启动时钟,不考虑时钟兼容性,会出现多个触发时钟,也就是在launch过程中、capture过程中以及两者之间都会出现不兼容时钟同时触发的情况。

2024-03-25 18:23:16 781

原创 command: get_cell与get_attribute

例3:get_cells -hier -filter”ref_name==AND2V1 && full_name=~*and*”例2:get_attribute [get_cells utop/cane/and] ref_name。例2:get_cells -hier -filter”full_name=~ *clk_gate*”例4:get_cells -hier -filter”is_memory_cell == true”查询utop/cane/and这个单元的ref_name。

2024-03-22 15:24:31 870

chapter6_ex.pdf

chapter6_ex.pdf

2022-06-16

chapter11_ex.pdf

chapter11_ex.pdf

2022-06-16

chapter12_ex.pdf

chapter12_ex.pdf

2022-06-16

chapter5_ex.pdf

chapter5_ex.pdf

2022-06-16

chapter1_ex.pdf

chapter1_ex.pdf

2022-06-16

chapter4_ex.pdf

chapter4_ex.pdf

2022-06-16

chapter10_ex.pdf

chapter10_ex.pdf

2022-06-16

chapter7_ex.pdf

chapter7_ex.pdf

2022-06-16

chapter3_ex.pdf

chapter3_ex.pdf

2022-06-16

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除