FPGA(2)--例化语句--1位全加器,做了5年Java

Begin

so <= a xor b; --半加器核心逻辑功能的实现

co <= a and b; --半加器核心逻辑功能的实现

End Architecture Bhv;

(而至于或门,则不需要单独用VHDL来描述,直接调用即可。)

然后根据上面的电路图用VHDL语句将两个元件连接起来,构成了全加器的VHDL顶层描述。程序如下图。

Library Ieee; --全加器顶层设计描述

Use Ieee.Std_Logic_1164.All;

Entity f_adder is

Port (ain,bin,cin : in Std_Logic;

sum,cout : out Std_Logic);

End Entity f_adder;

Architecture Bhv of f_adder is

component h_adder --调用半加器声明

port (a,b : in Std_Logic; co,so : out Std_Logic);

End component h_adder;

signal so1,co1,co2 : Std_Logic; --定义三个信号变量作为内部的连接线

begin

u1: h_adder Port Map (a=>ain,b=>bin,so=>so1,co=>co1); --例化语句

u2: h_adder Port Map (a=>so1,b=>cin,so=>sum,co=>co2);

cout <= co1 or co2;

End Architecture Bhv;

在实体中首先定义了全加器顶层设计的端口信号,然后在Architecture和Begin之间加入了调用元件的声明语句,即利用Component语句对准备调用的元件做了声明,并定义so1,co1,co2三个信号作为全加器内部的连接线。最后利用端口映射语句Port Map()将两个半加器模块和一个或门模块连接起来构成一个完整的全加器。

四、实验结果及仿真


自我介绍一下,小编13年上海交大毕业,曾经在小公司待过,也去过华为、OPPO等大厂,18年进入阿里一直到现在。

深知大多数Java工程师,想要提升技能,往往是自己摸索成长或者是报班学习,但对于培训机构动则几千的学费,着实压力不小。自己不成体系的自学效果低效又漫长,而且极易碰到天花板技术停滞不前!

因此收集整理了一份《2024年Java开发全套学习资料》,初衷也很简单,就是希望能够帮助到想自学提升又不知道该从何学起的朋友,同时减轻大家的负担。
img
img
img
img
img
img

既有适合小白学习的零基础资料,也有适合3年以上经验的小伙伴深入学习提升的进阶课程,基本涵盖了95%以上Java开发知识点,真正体系化!

由于文件比较大,这里只是将部分目录大纲截图出来,每个节点里面都包含大厂面经、学习笔记、源码讲义、实战项目、讲解视频,并且后续会持续更新

如果你觉得这些内容对你有帮助,可以添加V获取:vip1024b (备注Java)
img

最后

一次偶然,从朋友那里得到一份“java高分面试指南”,里面涵盖了25个分类的面试题以及详细的解析:JavaOOP、Java集合/泛型、Java中的IO与NIO、Java反射、Java序列化、Java注解、多线程&并发、JVM、Mysql、Redis、Memcached、MongoDB、Spring、Spring Boot、Spring Cloud、RabbitMQ、Dubbo 、MyBatis 、ZooKeeper 、数据结构、算法、Elasticsearch 、Kafka 、微服务、Linux。

这不,马上就要到招聘季了,很多朋友又开始准备“金三银四”的春招啦,那我想这份“java高分面试指南”应该起到不小的作用,所以今天想给大家分享一下。

image

请注意:关于这份“java高分面试指南”,每一个方向专题(25个)的题目这里几乎都会列举,在不看答案的情况下,大家可以自行测试一下水平 且由于篇幅原因,这边无法展示所有完整的答案解析
47274)]

请注意:关于这份“java高分面试指南”,每一个方向专题(25个)的题目这里几乎都会列举,在不看答案的情况下,大家可以自行测试一下水平 且由于篇幅原因,这边无法展示所有完整的答案解析

  • 17
    点赞
  • 8
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值