Quartus II 实现全加器

期末裸考系列之例化语句实现全加器

全加器有两个半加器以及一个或门实现

1.半加器 (h_adder)

library ieee;
use ieee.std_logic_1164.all;
ENTITY h_adder is
	port(A , B : in  std_logic;
	     CO,SO : out std_logic);
END ENTITY h_adder;
ARCHITECTURE two of h_adder is
 begin 
 CO <= A and B;
 SO <= A xor B;
 end ARCHITECTURE two;

2.或门 (or2a)

library ieee;
use ieee.std_logic_1164.all;
ENTITY or2a is
	port(a,b : in  std_logic;
	       c : out std_logic);
END ENTITY or2a;
ARCHITECTURE one of or2a is
 begin 
 c<=a or b;
 end ARCHITECTURE one;

3.全加器实现(f_adder)

library ieee;
use ieee.std_logic_1164.all;
ENTITY f_adder is
	port(ain,bin,cin : in  std_logic;
	     CONT,SUM : out std_logic);
END ENTITY f_adder;
ARCHITECTURE three of f_adder is
	component h_adder is
			port(A , B : in  std_logic;
	           CO,SO : out std_logic);
END component;
	component or2a is
		port(a,b : in  std_logic;
	          c : out std_logic);
END component;
signal net1,net2,net3 : std_logic;
	begin 
		u1 : h_adder port map (A => ain, B => bin, CO => net2, SO => net1);
		u2 : h_adder port map (A => net1, B => cin, CO => net3, SO => SUM);
		u3 : or2a    port map (a => net2,b=>net3,c=>CONT);
		
		
 end ARCHITECTURE three;

  • 9
    点赞
  • 10
    收藏
    觉得还不错? 一键收藏
  • 3
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 3
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值