【模块系列】DY-SV17F语音播放模块

前言

  本文针对官方给的应用手册进行补充和加上个人理解。在官方的资料中已经介绍的很详细了,我就节选部分出来,基本认识模块就行了吧。本来还行自己介绍呢,没想到官方写这么详细了,也不知道介绍啥了,现在单纯的写为个人理解应用笔记吧。

  概述:DY-SV17F 是一款智能语音模块,四种IO 分段触发,UART 串口控制, ONE_line 单总线串口控制,标准 MP3 等 7 种工作模式板载 5W D 类功放,可直接驱动 4Ω, 3~5W 喇叭;支持 MP3,WAV 解码格式,板载 32Mbit(4MByte)flash 存储,可通过 USB 数 据线连接电脑更新音频文件。

  官方的手册资料会在末尾给出链接的,当然也可以去优信电子该模块介绍下面下载,我的也是在那里下载的。

目录

  • 模块图片
  • 配置模式
  • 播放模式
  • 官方资料

模块图片

下图标明了DY-SV17F模块引脚的基本功能

在这里插入图片描述

官方的IO 独立模式0配置示例

在这里插入图片描述

配置模式

配置触发模式的CON1,CON2,CON3,一定要经过电阻完成上下拉。

下图为官方手册中配置工作模式的原图

在这里插入图片描述

官方的注解:

  • “按键组合播放”是指 IO0-IO7 输出对应的电平后恢复原来的高电平,类似于按键触发一次
  • “电平组合播放”是指 IO0-IO7 输出对应的电平后保持电平不变
  • “I/O 组合(独立)模式 0”与“I/O 组合(独立)模式 1”的区别在于前者模式释放电平后 继续播放当前曲目至结束,后者模式释放电平后立即停止播放曲目。

播放模式

简单介绍下四大种播放模式,注意下述的模式的前提是,要按照配置模式的章节完成硬件配置先。

  1. I/O模式:

    注意:在此模式下曲目名称必须按照 5 位数字进行命名。比如:00001.mp3,000123.mp3

    • I/O 组合模式 0(按键组合播放):单片机控制 I/O 组合触发(低电平有效)指定的曲目后释放(IO0-IO7 恢复高电平),播放完 当前曲目停止;播放中途重新触发,播放新的曲目,播放结束停止;若一直触发(不释放电平), 循环播放;播放过程 busy 有效。

    • IO 组合模式 1(电平组合播放):单片机控制 IO 组合触发(低电平有效)指定的曲目,一直循环播放指定曲目,电平释放(IO0-IO7 恢复高电平)即停止播放。播放中途释放电平,即刻停止播放。播放过程 busy 有效。

    • IO 独立模式 0(按键独立控制):IO0-IO7 独立控制 8 首曲目, IO 触发(低电平有效)指定的曲目后释放(IO0-IO7 恢复高电 平),播放完当前曲目停止;播放中途重新触发,播放新的曲目,播放结束停止;若一直触发(不 释放电平),循环播放;播放过程 busy 有效。

    • IO 独立模式 1(电平独立控制):IO0-IO7 独立控制 8 首曲目,IO 触发(低电平有效)指定的曲目,一直循环播放指定曲目, 电平释放(IO0-IO7 恢复高电平)即停止播放。播放中途释放电平,即刻停止播放。播放过程 busy 有效。

    该模式小结:组合模式最大256首,独立模式最大8首。组合模式8位遵循8421码的256种变化,独立模式模式则是每个IO就单独触发。模式0/1的区别是,触发方式是脉冲电平,还是持续电平。

  2. UART 串口模式:

    基本参数:采用全双工串口通信; 波特率为 9600,数据位:8 ,停止位 1 位,检验位 N。

    起始码-指令类型-数据长度(n)-数据 1-数据 n-和检验(SM)

    ※ 指令码 :固定为 AA。

    ※ 指令类型 : 用来区分指令类型。

    ※ 数据长度:指令中的数据的字节数。

    ※ 数据 :指令中的相关数据,当数据长度为 1 时,表示只有 CMD,没有数据位。

    ※ 和检验 :为之前所有字节之和的低 8 位,即起始码到数据相加后取低 8 位。

    ※ 数据格式:发送的数据或命令,高 8 位数据在前,低 8 位在后。

    该模式小结:上面的格式参数是节选官方的资料的,因为官方介绍命令篇幅内容有点多,我就不加进来了,感兴趣的可以自行查看官方给的数据手册。而且官方还很贴心的配置有UART 调试工具的。总的来说的话,串口模式能对该芯片的控制更为精细,比如我在手册就看到有,随机播放,加减音量,指定路径播放等等命令。

  3. One_line 单总线串口模式:

    在该模式下可以只利用一个指定的I/O口IO4就能完成对该芯片播放的控制。利用手册阅读好的协议时序,和协议约定完成通信。

    该模式小结:该模式能用的命令作用几乎和串口模式的一样,在控制端I/O口紧张的时候可以考虑使用。

  4. 标准 MP3 模式:

    注意:此模式下,按照存储顺序播放,即先存储先播放,与文件名称无关。

    该模式一共用到5个引脚,分别为IO0~IO4,引脚功能如下:

    引脚作用
    NEXT/V+/IO0短按“下一首”,长按“音量+”
    PREV/V-/IO0短按“上一首”,长按“音量-
    P/P/IO2短按一次“暂停”再按一次“播放
    EQ/IO3短按一次调节“EQ”
    RPT/IO4短按一次“单曲播放”,再按一次“循环播放”

    该模式小结:该模式操作便捷,简直是弄Diy个小型MP3的不二之选,不过听歌的话也要考虑到改模块板载只有32Mbit(4MByte)flash 存储,估计空间小点哈。

官方资料

在官方给出的资料中,已经够详细简洁了,大家想要对该模块更详细了解的可以去看看。还有图中的软件案例是,UART 串口模式,One_line 单总线串口模式这两个模式的案例,而且好像是基于STM8S写的。

在这里插入图片描述

官方手册的资料

链接:https://pan.baidu.com/s/1dXXv1Ycox3a99oYSaaseBQ 提取码:abbw

  • 5
    点赞
  • 34
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值