LED流水灯实验(汇编语言)

流水灯的本质就是使八个LED灯依次点亮,本文所采用的LED灯为低电平有效,故对八个LED灯依次赋低电平。对此,我们通过使用指令(RL:累加器循环左移)实现循环点亮。

代码如下:

ORG 0000H
AJMP MAIN
MAIN:
     MOV R0,#50H    %给R0,R1赋初值,用于延时函数的循环次数
     MOV R1,#0F0H
     MOV P2,#0FEH    %P2端口与LED灯相连,设置灯的初始状态为1111 1110,即D0亮
S1:                   %RL为累加器循环左移,故设置P2的状态,需要先将P2的给累加器A
     MOV A,P2
     RL A
     MOV P2,A
     LCALL DEL        %调用延时子程序
     AJMP S1
DEL:
     MOV R0,#50H       %重新赋值
     DJNZ R0,$        %原地跳转,内层循环
     DJNZ R1,DEL      %外层循环
     MOV R1,#0F0H      %重新赋值
     RET
END

  • 1
    点赞
  • 18
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值