数电第二章实验

一,实验原理

 

利用modelsim仿真软件,实现逻辑功能的仿真与测试。

 

首先在对一个设计快进行仿真时,需要准备一个测试模块。该模块大,只有三部分组成。第一部分实力引用被测试的模块。第二部分是输入信号附各种不同的组合值,第三部分指定测试结果的显示格式,并输出文件名。

 

二,选择文件名test_mux2to1_df.v。里面文件内容是module mux2tol_df

 (       input  D0,D1,S,               output  wire  Y );

assign  Y =  (~S & D0) |(S & D1);

endmodule

timescale 1ns/1nsmodule test_mux2tol_df;

reg PD0,PD1,PS;wire PY;mux2tol_df t_mux (PD0,PD1,PS,PY);initial begin PS=0; PD1=0; PD0=0;#5 PS=0; PD1=0; PD0=1;#5 PS=0; PD1=1; PD0=0;#5 PS=0; PD1=1; PD0=1;#5 PS=1; PD1=0; PD0=0;#5 PS=1; PD1=0; PD0=1;#5 PS=1; PD1=1; PD0=0;#5 PS=1; PD1=1; PD0=1;#5 PS=0; PD1=0; PD0=0;#5 $stop;

end initial begin $monitor($time,":\tS=%b\tD1=%b\tD0=%b\tY=%b",PS,PD1,PD0,PY);

endendmodule

 三,运行仿真

结果如下

watermark,type_d3F5LXplbmhlaQ,shadow_50,text_Q1NETiBAbTBfNjg4MTAzMDg=,size_20,color_FFFFFF,t_70,g_se,x_16

 

代码出现数字

 这表示我们弄成了。有些没有打开这个窗口ware,则需要我们去视图里面打开。

实验总结:这次实验只是开始,下面的还会有更难的。在代码编写的基础上做到了四输入的编译,需要格外细心

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值