Sublime配置

有关verilog开发的sublime编辑器配置

Sublime配置

开发Verilog经常使用的sublime编辑器,详细配置及常用插件如下,方便查阅

软件下载

sublime软件下载地址 : https://www.sublimetext.com/
iverilog软件下载地址 : http://bleyer.org/icarus/

常用插件

PackageControl:插件管理,不安装无法安装其他插件;
安装流程:ctrl + shift + p,输入install,选择install package即可,其他插件安装需进入packagecontrol内安装,进入方法与安装方法一致。

ChineseLocalizations:sublime汉化插件,中文汉化菜单栏;

ConvertToUTF8:正确显示代码中的中文;

Verilog: verilog语法高亮,verilog开发最基本插件

VerilogGadget:集成多个有用的小功能:自动生成TestBench文件,自动例化模块,自动对齐,自动重复代码,插入文件头;

SublimeLinter:lint语法检查插件,需配合其他插件使用,verilog可使用SublimeLinter-contrib-modelsim或者Sublime​Linter-contrib-iverilog 插件搭配使用(注意:语法检查需安装插件所需软件:modelsim或者iverilog,iverilog下载地址如上)

SnippetMaker:SnippetMaker 插件提供 snippet 文件的快捷生成和打包操作,用于快速实现自定义代码块。
① 首先在编辑器中编写想要打包的代码块,并且选中。
在这里插入图片描述
② 按 ctrl+shift+P ,并输入 make ,选择下图光标所示的选项。
在这里插入图片描述
③ 在下方控制台中输入触发代码块的提示符,比如 “z” ,然后回车。
④ 再输入描述信息(随便写,描述一下代码块作用就行),回车。
⑤ 出现 “source.verilog, keyword.control.verilog” 信息,直接回车。
⑥ 出现默认保存文件名 “z.sublime-snippet”,回车保存。
⑦ 在编辑器中输入 “z” 就能看见提示,按 Tab 键即可插入刚才编辑好的代码块。

  • 0
    点赞
  • 1
    收藏
    觉得还不错? 一键收藏
  • 1
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值